11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000110001000100010001001010000000000000000000000000000000000000001100000000000000000000000000000000000000000001000100010001001010011001010101010101010010000000000000000000000000000000000000000100000000000000000000000000000000000000000001010101010101010010001100010001000100010010100000000000000000000000000000000000000001000000000000000000000000000000000000000000010001000100010010100110000000000000000000000000000000000000000000000000000000000001011100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000111000000000000000000000111000100000000000000000000000000000000111000000000000000000000111011111000000001100000000000000000000010000010001000100010000010000010000000000000000000000000000000010000010001000100101000010001000000000000110000000000000000000111110010101010101010100111110111110000000000000000000000001100111110010101010101001000111110100000000000011000000000000000000000100000100010001000100000100010001000000000000000000000000110000100000100010001001010000100010000000000001100000000000000000001111100000000000000000001111101111100000000000000000000000000001111100000000000000000001111101000000000000110000000000000000000001000000000000000000000001000001000000000000000000000000000000001000000000000000000000001000100000000000011000000000000000000011100000000000000000000011100000100000000000000000000000000000011100000000000000000000011100010000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000111000000000000000000000111011100000000000000000000000000000000111000000000000000000000111011111000000001100000000000000011000010000010001001010010000010000010000000000000000000000110011000010000010001001010101000010001000000000000110000000000000001100111110010101010010010100111110101000000000000000000000011001100111110010101010010001000111110111110000000011000000000000000000000100000100010010100100000100010000000000000000000000000000000000100000100010010101010000100010000000000001100000000000000000001111100000000000000000001111101011100000000000000000000000000001111100000000000000000001111101011100000000110000000000000001100001000000000000000000000001000100000000000000000000000000001100001000000000000000000000001000100000000000011000000000000000110011100000000000000000000011100011100000000000000000000000000110011100000000000000000000011100010000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000111000000000000000000000111010111000000000000000000000000000000111000000000000000000000111011101000000001100000000000110011000010000010010100100010000010001000000000000000000001100110011000010000010010100100101000010001110100000000110000000000011001100111110010100100101010100111110111000000000000000000110011001100111110010100100101001000111110101010000000011000000000000000000000100000100101001000100000100010000000000000000000000000000000000100000100101001001010000100010000000000001100000000000000000001111100000000000000000001111101110000000000000000000000000000001111100000000000000000001111101110000000000110000000000011001100001000000000000000000000001000100000000000000000000000011001100001000000000000000000000001000001000000000011000000000001100110011100000000000000000000011100011100000000000000000000001100110011100000000000000000000011100011111000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000111000000000000000000000111000001000000000000000000000000000000111000000000000000000000111011101000000001100000001100110011000010000010010101010010000010000101100000000000011001100110011000010000010010101010101000010000011100000000110000000110011001100111110010100100010010100111110111010000000000001100110011001100111110010100100010001000111110001010000000011000000000000000000000100000100101010100100000100000100000000000000000000000000000000100000100101010101010000100001000000000001100000000000000000001111100000000000000000001111101110000000000000000000000000000001111100000000000000000001111101000000000000110000000110011001100001000000000000000000000001000101000000000000000000110011001100001000000000000000000000001000100000000000011000000011001100110011100000000000000000000011100011100000000000000000011001100110011100000000000000000000011100010000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000111000000000000000000000111010111000000000000000000000000000000111000000000000000000000111000001000000001100011001100110011000010000101001000100010000010001100000000000110011001100110011000010000101001000100101000010000100100000000110001100110011001100111110001001010101010100111110111000000000011001100110011001100111110001001010101001000111110101010000000011000000000000000000000100001010010001000100000100010100000000000000000000000000000000100001010010001001010000100010101000000001100000000000000000001111100000000000000000001111101111100000000000000000000000000001111100000000000000000001111101111100000000110001100110011001100001000000000000000000000001000101000000000000001100110011001100001000000000000000000000001000001000000000011000110011001100110011100000000000000000000011100011111000000000000110011001100110011100000000000000000000011100011100000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100110011001100001110111110001000000000011001100110011000011101111101111100000011001100110011000011101111101110000000110001100110011001100110000100010000000100000000001100110011001100001000100000100000000001100110011001100001000100000001000000011000000000000000000000001111101000001111100000000000000000000000011111010000010000000000000000000000000011111010000010100000001100000000000000000000000001000100000100010000000000000000000000000010001000001000000000000000000000000000010001000001000000000110001100110011001100110011111010000011111000000001100110011001100111110100000100000000001100110011001100111110100000101110000011000110011001100110011000010001000000010000000000110011001100110000100010000010000000000110011001100110000100010000010000000001100000000000000000000000111000100000001000000000000000000000000001110001000001000000000000000000000000001110001000001110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000110011001100000000000000000000000000000110011001100110000000000000000000000001100000000000000000000000000000000000000000000000011001100110000000000000000000000000000011001100110011000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100110011001100001110111110101110011001100110011001100001110111110111010000011001100110011001100000000000000000000000110001100110011001100110000100010000010000001100110011001100110000100010000011101000001100110011001100110000000000000000000000011000000000000000000000001111101000001110000000000000000000000001111101000001010100000000000000000000000000000000000000000000001100000000000000000000000001000100000100000000000000000000000000001000100000100000000000000000000000000000000000000000000000000110001100110011001100110011111010000011100001100110011001100110011111010000011100000001100110011001100110000111011100000100000011000110011001100110011000010001000001000000110011001100110011000010001000000010000000110011001100110011000010000010000010000001100000000000000000000000111000100000111000000000000000000000000111000100000111110000000000000000000000000111110101000111110000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000010001000011000000011001100110011000000000000000000000110011001100110011000000000000000000000000110011001100110011001111101011101111100001100000001100110011001100000000000000000000011001100110011001100000000000000000000000011001100110011001100001000100000001000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100011100000100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100110011001100000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110011001100110000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011100000000000111110000000001110100000000011101000000000111110111110001111101111100011111011101000111110000010000000000011000000010000000000010000000000000111010000000000011100000000010000010000000100000100000001000000011100010000001001000000000001100000101000000000001111100000000010101000000000001010000000001000001000000010000011111000100000001010001000001010100000000000110000010000000000000100000000000001000000000000001000000000000100000100000001000001000000010000001000000100000101010000000000011000001011100000000010111000000000111000000000001000000000000010000010000000100000101110001000001000000010000011111000000000001100000100000000000001000000000000000100000000000100000000000001000001000000010000010000000100000100000001000000010000000000000110000011100000000000100000000000001111100000000010000000000000100000100000001000001000000010000010000000100000111000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011100011111000111000000010001111101111100011111011101000101110111110001011101111100010111011101000111010111110000000000011000000010001000000000100001001000100000100000001000000011100010000010000000100000100000001000000011100011101010000000000000001100000101000111110001010001010100011111010000000111110001010001110001000000011100011111000111000001010001010101111100000000000110000010000010000000100000101010001000001000000010000001000000100000100000001000001000000010000001000000100000100000000000000011000001011101011100010111011111000101110100000001011101000000011100010000000111000101110001110001000000011100010111000000000001100000100000100000001000000010000010000010000000100000100000001000001000000010000010000000100000100000000010001000000000000000110000011100010000000111000111000001000001000000010000010000000111000100000001110001000000011100010000000111110100000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011101000001000000010111110000000101110100011101011111000111010111110001110100000100010111011111000101110000010000000000011000001110100100100001011010000000010110001110000011101000000000111010000000001110010010001100001000000011000001001000000000001100000101010101010001110101000000011101000101000001010100000000010101111100000101010101000111000111110001110001010100000000000110000010000010101000001000100000000010000100000001000010000000010000100000000100001010100010100010000000101000101010000000000011000001110001111100011100010000000111000100000001000001000000010000010111000100000111110001111101011100011111011111000000000001100000001000001000001010001000000010100010000000100000100000001000001000000010000000100000101000100000001010000010000000000000110000011111011100000111000100000001110001000000010000010000000100000100000001000001110000011111010000000111110111000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000001111100010001110001111101111101110101110100000000000000000000000000000000000000000000000110000000000000000000000000000000000000100000001000001000100000100000001110001110000000000000000000000000000000000000000000000011000000000000000000000000000000000000011111011111010100010000011111000101000101000000000000000000000000000000000000000000000001100000000000000000000000000000001110001000001000101000001000001000000100000100001111101111100000000000000000000000000000000000110000000000000000000000000000000001000101110111110101110100000101110100000100000010100100000000000000000000000000000000000000011000000000000000000000000000000010100010000000100010000010000010000010000010000010000010000000000000000000000000000000000000001100000000000000000000000000000001000001000000010001110001000001000001000001000001000001000000000000000000000000000000000000000110000000000000000000000000000000101110000000000000000000000000000000000000000000111110100000000000000000000000000000000000000011000000000000000000000000000000010000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000001100000000000000000000000000000001110000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000011000100010001001010010000000000000000000000000000000000000000110000000000000000000000000000000000000000000100010001001010010001100101010101010010010100000000000000000000000000000000000000010000000000000000000000000000000000000000000101010101010010010100110001000100010010100100000000000000000000000000000000000000000100000000000000000000000000000000000000000001000100010010100100011000000000000000000000000000000000000000000000000000000000000101110000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001111101011101111100011101110000000001111101111101111100011100010000000000001111101111101111100011101111100000000000001100000000100000100000100000001000001000000000100000010100100000001000001000000000000100000000010100000001000100000000000000000110000000010000010100010000011111010100000000010000010000010000011111011111000000000010000010101010000011111010000000000000000011000000001000001111001000000010001000000000001000001000001000000010001000100000000001000001110101000000010001000000000000000001100000000100000101000100000111110101110000000100000111110100000111110111110000000000100000101010100000111110100000000000000000110000000010000010000010000000100010000000000010000010000010000000100000100000000000010000010000010000000100010000000000000000011000000001000001011101000001110001110000000001000001000001000001110000010000000000001000001111101000001110001000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001111101011101110000011101111100000001111101111101110000011100011101111100001111101111101110000011101110000000000000001100000000100000100000001000001000100000000000100000010100001000001000001000100000000100000000010001000001000001000000000000000110000000010000010100010100011111011111000000010000010000010100011111000100010000000010000010101010100011111010100000000000000011000000001000001111001000000010001000000000001000001000001000000010000010001000000001000001110101000000010001000000000000000001100000000100000101000101110111110101110000000100000111110101110111110001000100000000100000101010101110111110101110000000000000110000000010000010000010000000100010000000000010000010000010000000100000100010000000010000010000010000000100010000000000000000011000000001000001011101110001110001000000000001000001000001110001110001110001000000001000001111101110001110001110000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001111101011101110000011101110100000001111101111101110000011101111100000000001111101111101110000011100000100000000000001100000000100000100000001000001000111010000000100000010100001000001000100000000000000100000000010001000001000010110000000000000110000000011111010100010100011111010101000000011111010000010100011111010000000000000011111010101010100011111011101000000000000011000000001000001111001000000010001000000000001000001000001000000010001000000000000001000001110101000000010000010000000000000001100000000101110101000101110111110111000000000101110111110101110111110100000000000000101110101010101110111110111000000000000000110000000010000010000010000000100000100000000010000010000010000000100010000000000000010000010000010000000100010100000000000000011000000001000001011101110001110001111100000001000001000001110001110001000000000000001000001111101110001110001110000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001011101011101111100011101110100000001011101111101111100011101111100000000001011101111101111100011101111101110000000001100000000100000100000100000001000001110000000100000010100100000001000100000000000000100000000010100000001000100000001000000000110000000011100010100011111011111000101000000011100010000011111011111010000000000000011100010101011111011111010000010100000000011000000001000001111001000000010000100000000001000001000001000000010001000000000000001000001110101000000010001000001000000000001100000000111000101000101110111110100000000000111000111110101110111110100000000000000111000101010101110111110100000101110000000110000000010000010000010000000100010000000000010000010000010000000100010000000000000010000010000010000000100010000010000000000011000000001110001011101000001110001000000000001110001000001000001110001000000000000001110001111101000001110001000001110000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001111101011100010000011101111100000001111101111100010000011101111100000000001111101111100010000011100010000000000000001100000000100000100000001000001000100000000000100000010100001000001000100000000000000100000000010001000001000001000000000000000110000000010000010100011111011111010000000000010000010000011111011111010000000000000010000010101011111011111011111000000000000011000000001000001111001000100010001000000000001000001000001000100010001000000000000001000001110101000100010001000100000000000001100000000100000101000111110111110100000000000100000111110111110111110100000000000000100000101010111110111110111110000000000000110000000010000010000000100000100010000000000010000010000000100000100010000000000000010000010000000100000100000100000000000000011000000001000001011100010001110001000000000001000001000000010001110001000000000000001000001111100010001110000010000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111110001110111110001110111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000100010000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001000001010101000001111101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100000100010100000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000010101010000011111010000000000000000000000111110001110111110001110001000001110111110111110111110111110101110000000000110001000000010001000000010001000000000000000000000010000000100010000000100000100000001010000010000010000010000010000000000000011000100000111000100000111000100000000000000000000001000001010101111101111101111100000101111101111101111101111101011100000000001100000000000000000000000000000000000000000000000000100000100010100000001000100010010000100000100000100000100000001000000000000110000000000000000000000000000000000000000000000000010000010101010111011111011111010000010111010111010111010111011100000000000011000111110001110111000001110001000001110111010000001000000010001000000010000010001000001000001000001000001000001000000000000001100010000000100000100000100000100000001011101000000100000111000100000111000001000111000100000100000100000100000100000000000000110001000001010101010001111101111100000101010100000000000000000000000000000000000000000000000000000000000000000000000000000000011000100000100010100000001000100010010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000010101010111011111011111010000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000110001000000010001000000010000010001000000010000000010111000111011111000111011111000111011111011111011111011111010111000000000011000100000111000111000111000001000111000111110000001000000010001000000010001000000000101000001000001000001000001000000000000001100000000000000000000000000000000000000000000000000111000101010111110111110100000000010111110111110111110111110101110000000000110000000000000000000000000000000000000000000000000010000010001010000000100010000001000010000010000010000010000000100000000000011000111110001110111000001110111110001110111010000001110001010101011101111101000001000001011101011101011101011101110000000000001100010000000100000100000100010000000001011101000000100000001000100000001000100000100000100000100000100000100000100000000000000110001111101010101010001111101000000000101010100000011100011100010000011100010000011100010000010000010000010000010000000000000011000100000100010100000001000100000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010111010101010111011111010000010000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000110001000000010001000000010001000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100000111000111000111000100000111000111110000001111100011100000100011100010000011101111101111101111101111101011100000000001100000000000000000000000000000000000000000000000000100000001000010010001000001000000010100000100000100000100000100000000000000110000000000000000000000000000000000000000000000000010000010101010101011111011111000001010000010000010000010000010111000000000011000111110001110001000001110001000000000000000000001000001000101010100010001000100100001000001000001000001000000010000000000001100010000000100000100000100000100000000000000000000100000101010111110111110111110100000100000100000100000100000111000000000000110001000001010101111101111101111100000000000000000010000000100000100000100000100010000010000010000010000010000010000000000000011000100000100010100010001000100000000000000000000001000001110001110001110000010001110001000001000001000001000001000000000000001100010000010101011111011111010111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001000000010000010000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100000111000001000111000101110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000111000001110111110001110001000001110000010000010000010000010101110000000000110000000000000000000000000000000000000000000000000000100000100010000000100000100000001001011001011001011001011010000000000000011000001000001110111110001110001000000000000000000001010001010101111101111101111100000101110101110101110101110101011100000000001100000100000100010000000100000100000000000000000000100000100010100000001000100010010000001000001000001000001000001000000000000110001111101010101000001111101111100000000000000000010111010101010111011111011111010000011100011100011100011100011100000000000011000100010100010100000001000100010000000000000000001000000010001000000010000010001000001010001010001010001010001000000000000001100011111010101010000011111011111000000000000000000111000111000100000111000001000111000111000111000111000111000100000000000000110000010000010001000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001000111000100000111000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000011111000111011111011111000111000100000111000100000001000100000001010111000011000001000111110111110001110001110111110000000000001000000010001000001000000010000010000000100010000100100010000100101000000001100000100001010010000000100000100010000000000000000100000101010100000100000111110111110000010111110101010111110101010101110000110001111101000001000001111100010001000000000000000010000010001010000010000000100010001001000010001010101010001010101000100000011000100010100000100000001000001000100000000000000001000001010101000001000001111101111101000001111101111101111101111101110000001100011111011111010000011111000100010000000000000000100000001000100000100000001000001000100000001000001000001000001000100000000110000010001000001000000010000010001000000000000000010000011100010000010000011100000100011100000100011100000100011100010000000011000001000100000100000111000111000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000001100010001000100101010100000000000000000000000000000000000000011000000000000000000000000000000000000000000010001000100101010100110010101010101001000100000000000000000000000000000000000000001000000000000000000000000000000000000000000010101010101001000100011000100010001001010101000000000000000000000000000000000000000010000000000000000000000000000000000000000000100010001001010101001100000000000000000000000000000000000000000000000000000000000010111000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000011111000000000000000000000001111100000000000000000000000111110000000000000000000000001110000000000000000000000000000110000000001000000000000000000000000000100000000000000000000000000010000000000000000000000000000001000000000000000000000000000011000000000100000000000000000000000000010000000000000000000000000001000000000000000000000000000010100000000000000000000000000001100011111010000000111011111000001110001000000011101110000000111110100000001110111110000001011101000000011101111100000100000000110001000001000000010001000000000001000100000001000001000000010000010000000100010000000000100000101110001000100000010110000000011000100000100000111110100000000010100010000011111010100000001111101000001111101111100000011100010000011111010000011101000000001100010000010000000100010000000001000001000000010001000000000100000100000001000100000000001000001110000010001000000010000000000110001000000000001111101000000000101110000000111110101110000010111000000011111010111000000111000000000111110100000111000000000011000100000000000001000100000000010000000000000100010000000001000000000000010001000000000010000000000000100010000010100000000001100010000000000011100010000000001110000000001110001110000000100000000000111000100000000001110000000001110001000001110000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000011100000000000000000000000001110000000000000000000000000111000000000000000000000000001111100000000000000000000000000110000000000010000000000000000000000000001000000000000000000000000000100000000000000000000000000100000000000000000000000000000011000000000101000000000000000000000000010100000000000000000000000001010000000000000000000000000011111000000000000000000000000001100011111010000000111011111000001110001000000011101011100000111110100000001110000010000001110101000000011101111101110001110100110001000001011100010001000000000001000101110001000100000000010000010111000100001001000000111010101110001000100000001000111010011000100000100000111110100000000010100010000011111011100000001111101000001111101010100000010101010000011111010000010100010101001100010000011100000100010000000001000001110000010001000000000100000111000001000101010000001000001000000010001000001000001000000110001000000000001111101000000000101110000000111110111000000010111000000011111011111000000111000000000111110100000101110111000011000100000000000001000100000000010000000000000100010000000001000000000000010000010000000000100000000000100010000010000000100001100010000000000011100010000000001110000000001110001110000000100000000000111000111000000001111100000001110001000001110001111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000011111000000000000000000000001111100000000000000000000000111110000000000000000000000000000000000000000000000000000000110000000001000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000011000000000111110000000000000000000000011111000000000000000000000001111100000000000000000000000000000000000000000000000000000001100011111010000000111011111000001110001000000011101011100000111110100000001110111000111010000000000000000000000000000000000000110001000001011100010001000000000001000101110001000110000000010000010111000100000100000111000000000000000000000000000000000000011000100000100000111110100000000010100010000011111011100000001111101000001111101010000010100000000000000000000000000000000000001100010000010000000100010000000001000001000000010001010000000100000100000001000100000010000000000000000000000000000000000000000110001000000000001111101000000000101110000000111110111110000010111000000011111010111010000000000000000000000000000000000000000011000100000000000001000100000000010000000000000100010100000001000000000000010001000001000000000000000000000000000000000000000001100010000000000011100010000000001110000000001110001111100000100000000000111000111000100000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000001111100000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000011000000000000000000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001100000000000000000010000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000110000001111100010001000000011101111100010000000000000000000001111100010001000000011101111100010000010000000000000000000000000011000000100000001000100000001000100000001000000000000000000000100000001000101110001000100000001000001000000000000000000000000001100000010000011111010000011111010000011111000000000000000000010000011111010000011111010000011111011111000000000000000000000000110000001000001000101000000010001000001000100000000000000000001000001000101110000010001000001000101000100000000000000000000000011000000100000111110000000111110100000111110000000000000000000100000111110000000111110100000111110111110000000000000000000000001100000010000000100000000000100010000000100000000000000000000010000000100000000000100010000000100000100000000000000000000000000110000001000000010000000001110001000000010000000000000000000001000000010000000001110001000000010000010000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000111110000000000000000000000000000000000000000000000000001110111000000000000000000000000000000000000000000001100000000000000000010000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000110000000000000000001111100000000000000000000000000000000000000000000000000010001010000000000000000000000000000000000000000000011000000111110001000100000001110111110001000001000001000000000111110001000001000100000001110001000001110001000111110000000000001100000010000000100010111000100010000000100000100000100000000010000000100000100010111000100000100000001000100010000000000000000110000001000001111101000001111101000001111101111101111100000001000001111100010001000001111101111100000101111101000000000000000011000000100000100010100000001000100000100010100010100010000000100000100010111000111000001000100010010000100010100000000000000001100000010000011111000000011111010000011111011111011111000000010000011111000000000000011111011111010000011111010000000000000000110000001000000010000000000010001000000010000010000010000000001000000010000000000000000010000010001000000010001000000000000000011000000100000001000000000111000100000001000001000001000000000100000001000000000000000111000001000111000001000100000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000011100000000000000000000000000000000000000000001111100011101111100000000000000000110000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000001000100000000000000000000011000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000010000010101011111000000000000000001100011111000111011100011111011111011111000100010000000111011111011100011111000000000001011101000001000101000000011101110000000110001000000000100010001000000000101000000010001011100010001000000010001000000000000000110000100000101010101110001000001000000011000100000000010101000111110101010100000111110100000111110100000101000111110000000000011100010000000100010000011111010100000001100010000001000010000010000011101010000010001011100000100010000010000010000000000000001010001000001110001000000010001000000000110001000001000001011101011101010101000001111100000001111101000001011101011100000000000111110000000000000000000111110101110000011000100000100000100000100000100000100000001000000000001000100000100000100000000000000010100000000000000000000000100010000000001100010000011100011100010000011111010000000100000000011100010000011100010000000000000001111100000000000000000001110001110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000011101110000000000000000000000000000000000000000000000000111110001110111000000000000000000011000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000010000000100000100000000000000000001100000000000000000000000000000000000100010100000000000000000000000000000000000000000000000001000001010101010000000000000000000110001011101110101111101111100010000010001000000011100010000011101011101110100000000000101110100000100010100000001110111000000011000100000111010000010100000001000001000101110001000001000000010100000111010000000000010000010000010101010111000100000100000001100011100010101010101010000011111000100010000011111011111000001011100010101000000000001110001000000010001000001111101010000000110001000001000001110101000001000101110001110000010001000100100001000001000000000000000100000100000111000111000001000100000000011000111000111000101010100000111110000000000000111110111110100000111000111000000000000011100000000000000000000011111010111000001100010000000100010000010000000100000000000000000100000100010000010000000100000000000001000000000000000000000000010001000000000110001110001111101111101000000010000000000000001110000010001110001110001111100000000000111000000000000000000000111000111000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000011111000111011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000100000101010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011100010000010001010000000111011111000111010111011111010111011100011111011111011101000001010111000000000000000000000000000110000010001000001010101011100010001000000000101000001000001000000010001000001000001110100101101000000000000000000000000000000011000101000100000001000100000111110100000000010111000100000111000101000100000111110101010111010101110000000000000000000000000001100010000010000011100011100000100010000001000010000010000010000010000010000010000010000000100000100000000000000000000000000000110001011100000000000000000001111101000001000001110001000001110001011101000001011101110001110001110000000000000000000000000000011000100000000000000000000000001000100000100000100000100000100000100000100000100000001000101000100000000000000000000000000000001100011100000000000000000000011100010000011100011100010000011100011100010000010000011111011100010000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000110001000100101001000100000000000000000000000000000000000000001100000000000000000000000000000000000000000001000100101001000100011001010101001001010101000000000000000000000000000000000000000100000000000000000000000000000000000000000001010101001001010101001100010001001010010001000000000000000000000000000000000000000001000000000000000000000000000000000000000000010001001010010001000110000000000000000000000000000000000000000000000000000000000001011100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001010101110000000001110001011101110000011101111100000000000000000000000011100000111011111000000000000000000000000000000110000000111110010000000000010000100000001000001000100000000000000000000000000000100000010001000000000000000000000000000000000011000000011111000111000000000111010100010100011111011111000000000000000000000000001110111110100000000000000000000000000000000001100000000010000100000000000100001111001000000010001000000000000000000000000000001000000100010000000000000000000000000000000000110000000111110111000000000111000101000101110111110101110000000000000000000000001110001111101000000000000000000000000000000000011000000000100010000000000010000010000010000000100010000000000000000000000000000100000001000100000000000000000000000000000000001100000000010001110000000001110001011101110001110001000000000000000000000000000011100011100010000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001010101110000000001110001011101011100011101111100010000000000000000000011100000111000001000000000000000000000000000000110000000111110010000000000010000100000100000001000100000001000000000000000000000100000010000101100000000000000000000000000000011000000011111000111000000000111010100011100011111010000011111000000000000000000001110111110111010000000000000000000000000000001100000000010000100000000000100001111001000000010001000001000100000000000000000001000000100000100000000000000000000000000000000110000000111110111000000000111000101000111000111110100000111110000000000000000001110001111101110000000000000000000000000000000011000000000100010000000000010000010000010000000100010000000100000000000000000000100000001000101000000000000000000000000000000001100000000010001110000000001110001011101110001110001000000010000000000000000000011100011100011100000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001010101110000000001110001111101110100011101111101110100000000000000000011100000111011100000100000000000000000000000000110000000111110010000000000010000010100111010001000100000111010000000000000000000100000010000010000010000000000000000000000000011000000011111000111000000000111010000010101011111010000010101000000000000000000001110111110101000111110000000000000000000000001100000000010000100000000000100001000001000000010001000001000000000000000000000001000000100010000010001000000000000000000000000110000000111110111000000000111000111110111000111110100000111000000000000000000001110001111101011101111100000000000000000000000011000000000100010000000000010000010000000100000100010000000100000000000000000000100000001000100000001000000000000000000000000001100000000010001110000000001110001000001111101110001000001111100000000000000000011100011100011100000100000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001010101110000000001110000011101110000011101110100000000000000000000000011100000111011111000100000000000000000000000000110000000111110010000000000010000001000001000001000111010000000000000000000000000100000010001000000010000000000000000000000000011000000011111000111000000000111010101010100011111010101000000000000000000000000001110111110100000111110000000000000000000000001100000000010000100000000000100001000101000000010001000000000000000000000000000001000000100010000010001000000000000000000000000110000000111110111000000000111000101010101110111110111000000000000000000000000001110001111101000001111100000000000000000000000011000000000100010000000000010000000100010000000100000100000000000000000000000000100000001000100000001000000000000000000000000001100000000010001110000000001110001110001110001110001111100000000000000000000000011100011100010000000100000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000101010111000000000111000101110111000001110111000000000000000000000000001110000011101110001111101110000000000000000000011000000011111001000000000001000010000001000000100011000000000000000000000000000010000001000110000010100010000000000000000000001100000001111100011100000000011101010000011101111101000000000000000000000000000000111011111010000010000000111000000000000000000110000000001000010000000000010000111100010000001000010000000000000000000000000000100000010000100001000000100000000000000000000011000000011111011100000000011100010100000111011111011111000000000000000000000000111000111110111110111110001110000000000000000001100000000010001000000000001000001000000010000010001000000000000000000000000000010000000100010000010000000100000000000000000000110000000001000111000000000111000101110111110111000111000000000000000000000000001110001110001110001000001111100000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000101010111000000000111000111110111000001110111000000000000000000000000001110000011101110000011101110000000000000000000011000000011111001000000000001000000001001000000100011000000000000000000000000000010000001000110000001000010000000000000000000001100000001111100011100000000011101010100011101111101000000000000000000000000000000111011111010000010101000111000000000000000000110000000001000010000000000010000111010010000001000010000000000000000000000000000100000010000100001000100100000000000000000000011000000011111011100000000011100010101000111011111011111000000000000000000000000111000111110111110101010001110000000000000000001100000000010001000000000001000001000000010000010001000000000000000000000000000010000000100010000000100000100000000000000000000110000000001000111000000000111000111110111110111000111000000000000000000000000001110001110001110001110001111100000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000010000011101110100000000000011000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010111010000000000001100000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000011111000001010101000000000000110000000101010111000000000111000100000001110111000000000000000000000000000000001110000011101110001000100100001000000000000000011000000011111001000000000001000010111000100001000000000000000000000000000000000010000001000010000111110100000111000000000000001100000001111100011100000000011101000001111100011100000000000000000000000000000000111011111000111000100010000000100000000000000110000000001000010000000000010000111000001000010000000000000000000000000000000000100000010000100000010001110001111100000000000011000000011111011100000000011100000000011111000111000000000000000000000000000000111000111110001110000000000000000000000000000001100000000010001000000000001000000000000010000010000000000000000000000000000000010000000100000100000000000000000000000000000000110000000001000111000000000111000000000111000111110000000000000000000000000000001110001110001111100000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000010000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000111000001010100000000000000001000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000011100000100000000000000000100000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000010000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000111000001000000000000000001000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000100000000000000000000110000000000000000000000000000000000000000000111110000000000000000000000000000111110000010000000000000000100000000000000000000011000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000000010000000000000000000001100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000001000000000000000000000110000000000000000000000000111000001110111000100000000000000000000000000000000000000000010000000000000001000000000000000000000011000000010101011100000000001000000100001000010111000000000000000000000000000000000000001000000000000000100000000000000000000001100000001111100100000000000011101111100011101000000000000000000000000000000000000000000100000000000000100000000000000000000000110000000111110001110000000010000001000010000100000000000000000000000000000000000000000010000000000000010000000000000000000000011000000000100001000000000000111011111011100000000000000000000000000000000000000000000001000000000000010000000000000000000000001100000001111101110000000000010000010001000000000000000000000000000000000000000000000000100000000000010000000000000000000000000110000000001000100000000000111110111000111000000000000000000000000000000000000000000000010000000000010000000000000000000000000011000000000100011100000000000000000000000000000000000000000000000000000000000000000000001000000000110000000000100000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001100000000000001000000000000000110000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111110000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000001100000001000000000000000000000010000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000011000000000100000000000011100000010000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000100000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000001110000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000001000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000001110000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000000000000100000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000011100000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000011000100010010100100101000000000000000000000000000000000000000110000000000000000000000000000000000000000000100010010100100101001100101010100100101001000000000000000000000000000000000000000010000000000000000000000000000000000000000000101010100100101001000110001000100101001001010000000000000000000000000000000000000000100000000000000000000000000000000000000000001000100101001001010011000000000000000000000000000000000000000000000000000000000000101110000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000111111111110000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000011100000000000111000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000011000000000000000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000110000000000000000000110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000110000000000000000000001100000001000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000110000000000000000000000011000000100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000110000000000000000000000011110000010000000000000000000000000111110000000000000000000000000000000000000000000000000011000000000010000000111110000000000011001000001000000000000000000000000100000100001110111000111110001110111110111110000000000001100000000010000000001101000000000011000010000100000000000000000000000100000001000100000100000001001010000001001101000000000000110000000011000000000011100000000011000001100010000000000000000000000010000000101111101010001010101111101010100011100000000000011000000001000000000011000000000011000000010001000000000000000000000001000000010001000100000111010100000111010011000000000000001100000000100000000010111000000011000000001000100111000111110111110000100000001011111010111010101010001010101010111000000000000110000000100000000001000100000011000000000010010000100000001001101000010000000100010001000001000001000101000001000100000000000011000000010000000000111010000011000000000001001001010001010100011100000100000100111000111000111110100010111110111010000000000001100000001000000000000000000011000000000000100100100000111010011000000001111100000000000000000000000000000000000000000000000000110000000100000000000000000011000000000000010010010111010101010111000000000000000000000000000000000000000000000000000000000000011000000010000000000000000011000000000000001001001000001000001000100000000000000000000000000000000000000000000000000000000000001100000001000000000000000011000000000000000100100111000111110111010000000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000001110000000000000000000011000000010000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000001000000000000000000001100000001000000000000000000000000000000000100100000000000000000000000001111100000000000000000000000000010100000000000000000000110000000100000000000000000000000000000000010010000000000000000000000001010101000011100011101111101111101000000000000000000000011000000010000000000000000000000000000000001001000000000000000000000001010101010001000010100000010011010101110000000000000000001100000000100000000000000000000000000000001000100000000000000000000000110101011011111011111010101000111010000000000000000000000110000000010000000000000000000000000000000100010000000000000000000000010101010100010001000001110100110001110000000000000000000011000000001100000000000000000000000000000110001000000000000000000000001101010110111110100010101010101110000000000000000000000001100000000010000000000000000000000000000010000100000000000000000000000101010101000100010001010000010001000000000000000000000000110000000000100000000000000000000000000010000010000000000000000000000001010101001110001000101111101110100000000000000000000000011000000000011000000000000000000000000011000001000000000000000000000000011111000000000000000000000000000000000000000000000000001100000000000110000000000000000000000011000000100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001100000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000011000000000000000000011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000011000000000000000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000111000000000001110000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000011111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011100011101111100011101111101011101111101110100000101110000000101110101111101110101011100000101110100000101111101110000001100010100001000100000000010100000100000100000111010010010001000010110111010100000111010110000010010001110010010100000001000000110011111011111011111000001010000011100010000010101010101010100011101010101011111010101011100010101000101010101011111010100000011001000000010001000000100001000001000001000001000001010101000000010001000001000001000001010001010100100001010101000001000000001100100010111110101110100000100000111000100000111000111110101110111000111000101110111000111110111110100000111110101110101110000110010001000100010000010000010000010000010000000100000100010000010100000100010000000100010100000100010000000100010000010000000011001000101110001000001110001000001110001000001111101110001110001110001111101000001111101111101110001000001110001000001110000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000001011101011100000101110100000100000101011100000101111101011101110001111101110000000100010001011100001100000000000000000000000000100000100000010110111010010110010010110000010110100000100000001000100000001000010010001000100000000110000000000000000000000000010111011100011101010101011101010101011100011101010000011100010100010000010100010101011111011100000011000000000000000000000000000010001000000010001000000010001010101010000010001000001000001000001000001000001010101000101000000001100000000000000000000000000111000111000111000111000111000111110111110111000100000111000101110100000101110111110111110111000000110000000000000000000000000010000010000010100000100010100000100010100010100010000010000010000010000010000000100000100010000000011000000000000000000000000001000001110001110001111101110001110001111101110001000001110001110001000001110001110000010001110000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001100001111111111111111111000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000110000100000000000000000110000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000011000010000000000000000010100000000111000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001100001000000000000000001001000000011000000000000000000001000000000000000000000011100000000000000011100000000000000011100000000110000100000000000000000100010000001000000000000000000001000000000000000000000000010000000000000000010000000000000000010000000011000010000000000000000010000100000010000000000000000001000000000000000000000000101000000000000000101000000000000000101000000001100001000000000000000001000001000011111000000000000001000000000000000000011100010000000111011100010000010111000111010000000000110000100000000011100000100000010001000000000000000001000000000000000000001100001011100010000100001011101000000100001011100000011000010000000000100000010000000100111000000000000001000000000000000000000100000100000111110001110100000101000111000100000000001100001000000000001110001000000001000000000000000001000000000000000000000001000011100000100001000011100011110001000011100000000110000100000000001000000100000000010000000000000001000000000000000000000001111100000001111101110000000001010001111100000000000011000010000000001110000010000000000100000000000001000000000000000000000000100000000000001000100000000000100000100000000000000001100001000000000100000001000000000001000000000001000000000000000000000000011100000000011100011100000000010111010111000000000000110000100000000011100000100000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000011000010000000000000000010000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000001100001000000000000000001000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000110000100000000000000000100000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010000000000000000010000000000000000101000000000111000111110111000001110111000111110111000101110001110111110001110000000001100001111111111111111111111111111111111111000000000011000000001011000000100001000000001001000010000001000000001001000000000000110000000000000000000000100000000000000000100000000001000001010101000001111100011101010100011101010001110001010101110000000000011000000000000000000000010000000000000000010000000000010000111010010000001000010000111010010000111100010000111010010000000000001100000000000000000000001000000000000000001000000000011111010101011111011111011100010101011100010100011111010101011111000000000110000000000000000000000100000000000000000100000000001000001000001000000010001000001000001000001000001000001000001000000000000011000000000000000000000010000000000000000010000000000111000111110111000111000111000111110111000101110101110111110101110000000001100000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000010000000011100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000100000011100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000010000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001000000111110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000100000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000010000001011100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000001100010001001010101001000000000000000000000000000000000000000011100000000000000000000000000000000000000000010001001010101001000110010101010010001001010000000000000000000000000000000000000001011100000000000000000000000000000000000000010101010010001001010011000100010010101010010000000000000000000000000000000000000000110000000000000000000000000000000000000000000100010010101010010001100000000000000000000000000000000000000000000000000000000000010111000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000111010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000001111100000000011101111101011101111100000101111101111100000000000000000000000000000000011000000000000000000000000000000000000000010100000000001000100000110000100000010110000010010100000000000000000000000000000000001100000000000000000000000000000000000000010001000000011111010000011100011111011101010101010001000000000000000000000000000000000110000000000000000000000011111110000000001000101000000010001000001010001000000010001110101000101111100000000000000000000000000011000000000000000000000111000001110000000111110110000111110100000111110101110111000101010111110010000000000000000000000000000001100000000000000000000110000000001100000000100010100000100010000010100010000010100010000000100011100000000000000000000000000000110000000000000000000110000000000011000001111100010001110001000001111101000001110001111101111101000000000000000000000000000000011000000000000000000010000000000000100000000000111110000000000000000000000000000000000000000000101000000000000000000000000000001100000000000000000011000000000000011000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000110000101000001110001000001000000000100000000001110000000000000000000000000000000000000000000001111100000000000000000000000000011000011100000100000100000110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001010101111100010000010100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000010001000001000000010000000100000011100000000011100011100011100000000000000000000000000000000000000000000000000000000011000011101011111000100000111110000010000010100000000001000001000010100000000000000000000000000000000000000000000000000000000001100000010100010000010000000100000001000011100000000011111000100011100000000000000000000000000000000000000000000000000000000000110000111110111000001000001110000000100000010001000000010000010000010001111100000000000000000000000000000000000000000000000000011000000000000000000110000000000000110000111000110000111110001000111000010000000000000000000000000000000000000000000000000000001100000000000000000001000000000000000000010000010100000100000100010000011100000000000000000000000000000000000000000000000000000110000000000000000000110000000011111000001000000010001110001110001000001000000000000000000000000000000000000000000000000000000011000000000000000000001100000000100000000000000111110000000000000000000101000000000000000000000000000000000000000000000000000001100000000000000000000011100000111000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000000011111010000000000000001110000000000000000000001111100000000000000000000000000000000000000000000000000011000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000001111100001111100000000011101111100011100010000010001111101111101011101111101111100000000011000000000000000000000000000000000000010000000010100000000001000100000000010001000001000100000100000110000000010010100000000001100000000000000000000000111111111110011100000010001000000011111010000000001011111011111010000011111011100010101010001000000000110000000000000000000000110000000001101000000001000101011100010001000000100001000101000101000001000001010001110101000101000000011000000000000000000001100000000000000101000000111110111000111110100000100000111110111110100000101110111110101010111110110000001100000000000000000001100000000000000000100000000100010100000100010000010000000100000100010000010000010100010000000100010100000110000000000000000001100000000000000001111100001111101000001110001000001110000010000010001000001000001111101111101111100010000011000000000000000001100000000000000000000000000000000101110000000000000000000000000000000000000000000000000000000000000111110001100000000000000000100000100000101110000010000000000010100000000000000000000000000000000000000000000000000000000000000000100000110000010100011100110000011000011100000001100000000001010000000000000000000000000000000000000000000000000000000000000001110000011000011110001000010000001010001010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000111011111011000000001000100000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110000010001000000011111010111000000010000011100000000011100010000000000000000000000000000000000000000000000000000000000011000111010111110100000000010001010000000001000010100000000001000001000000000000000000000000000000000000000000000000000000000001100010001000100010000000111000101000000000100011100000000011111011111000000000000000000000000000000000000000000000000000000000110001000101110001000000000000000000000000010000010001011100010001000100000000000000000000000000000000000000000000000000000000011000000000000000100000001011101000000000001000111000111000111110111110000000000000000000000000000000000000000000000000000000001100000000000000010000000111000110000000000100010000010100000100000100000000000000000000000000000000000000000000000000000000000110000000000000001000000010100010100000000010001000001000001110000010000000000000000000000000000000000000000000000000000000000011000000000000000100000001000000010000000001000000000101110000000000000000000000000000000000000000000000000000000000000000000001100000000000000010000000101110111110000000100000000010100000000000000000000000000000000000000000000000000000000000000000000000110000000000000001100000010100000100000000110000000001010000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001010001110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000011111000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000100000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000111000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000010000010000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000001010001100000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000001100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000011111000011111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000101000001110111110100000111110001000101110000000000011111000111011111011111010000011111011111010111010111000000000000000110000011100000100010000011000010000000100011100000000000000110000010001000001000001100001000001000001000001110000000000000000011000001010101111101000001010001011101111101010000000000000111010111110100000111110101000101110100000111000101000000000000000001100000000010001000100000001000100000100010100000000000000010101000100010000010000000100010000010000010000010000000000000000000110000011101011111010000011111010000011111010111000000000001110101111101000001011101111101000001000001110001011100000000000000011000000010100010001000000010001000000010001010000000000000101000001000100000100000001000100000100000100000101000000000000000001100000111110111000100000111000111110001000101000000000000010111011100010000010000011100011111010000011100010100000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001010001110111000100000111110111000101110000000000010111000111011111010111010000011111011111010111010111000000000000000110000001111000100000100011000010000000100011100000000000001101000010001000001000001100001000001000001000001110000000000000000011000000011101111101010001010001011101010001010000000000000100010111110100000111000101000101110100000111000101000000000000000001100000011000001000100000001000100000100000100000000000000001001000100010000010000000100010000010000010000010000000000000000000110000011101011111010111011111010000010111010111000000000000010101111101000001110001111101000001000001110001011100000000000000011000001000100010001000000010001000001000001010000000000000001010001000100000100000001000100000100000100000101000000000000000001100000100010111000111000111000111110111000101000000000000011111011100010000011100011100011111010000011100010100000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001010001110000010100000111110000010101110000000000010001000111011100000001010000011111011111000100010111000000000000000110000001111000100001011011000010000001011011100000000000001101100010000010000101101100001000001000000010001110000000000000000011000001110101111101110101010001011101110101010000000000000111010111110101000111010101000101110111110111110101000000000000000001100000010000001000001000001000100000001000100000000000000011100000100010000000100000100010000010000010001010000000000000000000110000000001011111011100011111010000011100010111000000000001011101111101011101110001111101000001011101111101011100000000000000011000000000100010001010000010001000001010001010000000000000101010001000100000101000001000100000100000001000101000000000000000001100000000010111000111000111000111110111000101000000000000010111011100011100011100011100011111010000000100010100000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000101010001110111010100000111110111010101110000000000011111000111011111011111010000011111011111011100010111000000000000000110000011111000100000111011000010000000111011100000000000001111000010001000001000001100001000001000000010001110000000000000000011000001010101111100010101010001011100010101010000000000000111110111110100000100000101000101110100000101000101000000000000000001100000011000001000010000001000100000010000100000000000000001001000100010000010000000100010000010000010000010000000000000000000110000011101011111010000011111010000010000010111000000000000011101111101000001000001111101000001000001011101011100000000000000011000000010100010001000000010001000001000001010000000000000001010001000100000100000001000100000100000100000101000000000000000001100000111010111000100000111000111110100000101000000000000000101011100010000010000011100011111010000011100010100000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000111000001110101110100000111110101110101110000000000010001000111011111011101010000011111011111010111010111000000000000000110000001110000100011000011000010000011000011100000000000001101100010001000000011101100001000001000001100001110000000000000000011000000010101111101110001010001011101110001010000000000000111110111110100000001010101000101110100000111000101000000000000000001100000011010001000101000001000100000101000100000000000000001100000100010000001000000100010000010000010100010000000000000000000110000011111011111011111011111010000011111010111000000000001110101111101000001000001111101000001000001111101011100000000000000011000000000100010001010000010001000001010001010000000000000000010001000100000100000001000100000100000101000101000000000000000001100000111110111000111110111000111110111110101000000000000000111011100010000010000011100011111010000011111010100000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000110001000100101010101010000000000000000000000000000000000000001110000000000000000000000000000000000000000001000100101010101010011001010101001000100010000000000000000000000000000000000000000101110000000000000000000000000000000000000001010101001000100010001100010001001010101010100000000000000000000000000000000000000011000000000000000000000000000000000000000000010001001010101010100110000000000000000000000000000000000000000000000000000000000001011100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011101000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000101000001110111110000010100000111110111110000010101110000010000000111010111011101010000011111000001000100010111000000000001100011110000100010000001011011000010000010000001011011100000001101000010001000000011101100001000000101100010001110000000000000110001110101111101000001110101010001011101000001110101010000000101110111110111000001010101000101110111010111110101000000000000011000010010001000100000001000001000100000100000001000100000000001001000100010000001000000100010000000100010001010000000000000001100011111011111010000011100011111010000010000011100010111000001011101111101110001000001111101000001110001111101011100000000000110001010100010001000001010000010001000001000001010001010000000101010001000100000100000001000100000101000001000101000000000000011000101010111000100000111000111000111110100000111000101000000011111011100011100010000011100011111011100000100010100000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111010001110111110001000100000111110111110111010101110000000001000111011101000001010000011111011111011111011101010111000001100001111000100010000000100011000010000010000011101011100000000101100010000011100100101100001000001000001000000011101110000000110000011101111101111101111101010001011101111101010101010000000111010111110001010101010101000101110100000100000001010101000000011000010010001000100000100010001000100000100000100000100000000011100000100001000010101000100010000010000010000001000010000000001100000111011111010111011111011111010000010111011100010111000001111101111101000001111101111101000001000001000001000001011100000110000010100010001000000010000010001000001000000010001010000000000010001000100000001000001000100000100000100000100000101000000011000111010111000100000001000111000111110100000111110101000000011101011100010000011100011100011111010000010000010000010100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100010001110111110101110100000111110111000111000101110000011101000111000001011100010000011111011111011111000001010111000001100011001000100010000011000011000010000000100000100011100000000101100010000100100010001100001000001000001000000101101110000000110001111101111101000001110001010001011101010001010001010000000101010111110101010101000101000101110100000100000111010101000000011000000010001000100000101000001000100000100000100000100000000011101000100010101010000000100010000010000010000000100010000000001100010001011111010000011111011111010000010111010111010111000001010101111101111101011101111101000001000001000001110001011100000110001000000010001000001010000010001000001000001000001010000000000010001000001000100000001000100000100000100000101000101000000011000101110111000100000111110111000111110111000111000101000000000001011100011100011100011100011111010000010000011100010100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000001010001110111110111110111000100000111110111110000010111010101110000000000000000000000000000001100000000000000000000000000000001111000100010000010000000100011000010000010000001011011101011100000000000000000000000000000000110000000000000000000000000000001110101111101000001000001010001010001011101000001110101010101010000000000000000000000000000000011000000000000000000000000000000011010001000100000100000100000001000100000100000001000100000100000000000000000000000000000000001100000000000000000000000000000000111011111010000010000010111011111010000010000011100011100010111000000000000000000000000000000110000000000000000000000000000000010100010001000001000001000000010001000001000001010000010001010000000000000000000000000000000011000000000000000000000000000000111110111000100000100000111000111000111110100000111000111110101000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011111000000000111000001011111011111000000010111000001011111011111000000010111011101000000000000000000000000000000000000001100000101000000000010000101100000100101000000001000000101100000100101000000001000001001100000000000000000000000000000000000000110000100010000000111110111010101010100010000000101000111010101010100010000000101000100010000000000000000000000000000000000000011000010001000101000100000100011101010001010000011110000100011101010001010111011110011000000000000000000000000000000000000000001100001111100111101111101110001010101111101100001010001110001010101111101110001010001000000000000000000000000000000000000000000110000001000111010001000101000100000001000101000100000101000100000001000101000100000100000000000000000000000000000000000000000011000011111001000011100011100011111011111000100010111011100011111011111010000010111011100000000000000000000000000000000000000001100000000000000100000000000000000000000001111100000000000000000000000001011100000000000000000000000000000000000000000000000000110000000000000010000000000000000000000000001000000000000000000000000000101000000000000000000000000000000000000000000000000000011000000000000001000000000000000000000000011100000000000000000000000000010100000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000001000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100100000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101010000000000000000000000000011000011111011100000111000111000001000100011100011100011111011111011101011111011111000100010000010101011111000000000000000000001100001000000010000110100010000101100010000010000010001000001000000011100000101000000010001000001111100101000000000000000000000110000100000101000101110111110111010111110101000101000100000111110001010101010100000111110100000001000100010000000000000000000011000010000010000010001000100000100010001010000010000010000010000001000011101010000010001010000011100010001000101000000000000001100001000001011101010101111101110001111101011101011101000001011101000001010101000001111100000000000001111100111100000000000000110000100000100000001010001000101000001000100000100000100000100000100000100000100000001000000000000000001000111010000000000000011000010000011100000101011100011100000100011100011100010000010000010000011111010000000100000000000000011111001000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011111010111000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000001000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111110111000000000000000000000011000011111000000000111011111000001011101011100000001011100011111011101011111011111000100000100010000010000000111000000000000001100000101000000000010001000000101100011100010000101100010001000000011100000101000000010000010001011101110000110100000000000000110000100010000000111110100000111010001010101000111010101000111110001010101010100000111110001000100000100000101110000000000000011000010001010000000100010000000100001000010000000100010000010000001000011101010000010001011100010000011100010001000000000000001100001111101100001111101000001110001000001011101110001011101011101000001010101000001111100000000000000000001010100000000000000110000001000101000001000100000101000100000100000101000100000100000100000100000100000001000000000000000000000001010000000000000011000011111000100011100010000011100010000011100011100011100010000010000011111010000000100000000000000000000000101000000000000001100000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011111010111000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000001000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111110111000000000000000000000011000011111000000000111011111000001011101011111000001011100010111000001011111011111000100000100010000010000000111000000000000001100000101000000000010001000000101100011101000000100100010001100000101100000101000000010000010001011101110000110100000000000000110000100010000000111110100000111010001010111110101010101000111000111010101010100000111110001000100000100000101110000000000000011000010001010111000100010000000100001000010000010101010000010100000100011101010000010001011100010000011100010001000000000000001100001111101110001111101000001110001000001011101111101011101111101110001010101000001111100000000000000000001010100000000000000110000001000101000001000100000101000100000100000001000100000101000101000100000100000001000000000000000000000001010000000000000011000011111010000011100010000011100010000010000011100011100011111011100011111010000000100000000000000000000000101000000000000001100000000001011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101111101011100000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000110000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011111011100000000000000000000001100001111100000000011100000101111100010000000101111101011100000101110101111101111100010000010001000001010000011100000000000000110000010100000000001000010010100000001000010010100000110000010010001110000010100000001000001000101110111110011010000000000000011000010001000000011111010101010000011111010101011111011100010101000101010101010000011111000100010000010100010111000000000000001100001000101111100010001010101000001000101010101000001010001010100100001110101000001000101110001000001111101000100000000000000110000111110010000111110111110100000111110111110101110111110111110100000101010100000111110000000000000000000101010000000000000011000000100011100000100000100010000000100000100010000010100000100010000010000010000000100000000000000000000000101000000000000001100001111101000001110001110001000000010001110001000001111101110001000001111101000000010000000000000000000000010100000000000000110000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000011000100101001000100010000000000000000000000000000000000000000111000000000000000000000000000000000000000000100101001000100010001100101001001010101010100000000000000000000000000000000000000010111000000000000000000000000000000000000000101001001010101010100110001001010010001000100000000000000000000000000000000000000001100000000000000000000000000000000000000000001001010010001000100011000000000000000000000000000000000000000000000000000000000000101110000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001110100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000010000000000110001110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001011000000000011000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001110100000000001100011111000000000000000000000100001110001110111110001110101110111010111110111110001000111110111110001000001000001000000010000110000000000000000000000000000010000010000100010000000001011000000111010000010000000100000001010000000100000100011100001001000011000000000000000000000000000001000011101111101000000000101110000010101111101000001111101010101000001111100010001010000000100001100011111111111110000000000000100010000001000100000010000101000010000100000100000100010111010100000100010111000111000010000000110001111111111111000000000000010010001011111010000010000011111010000010111010000011111010101010000011111000000000000010101000011000000000000000000000000000001001000100010001000001000001010001000001000001000000010001000001000000010000000000000001010100001100000000000000000000000000001100111110111000100000111000111110100000100000100000001000111110100000001000000000000000111110000110000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000011101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011111111111110110000111100100000000000000000000000000000000000000000000000000000000000000000000000000111110101110000000000110000000000000000000111110000010000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000011000000000000000000011110000001000000000000000000000000000000000000000000000000000000000000000000000000001000001110000000000001100000000000000000001000000000100111000001110111110001110111010000010101110101110111110111110111110001000100000100000111000000110001111111111111011000000000010000010000100010000000001011101001001011000011000010000000001010000000100010000011100010010000011000000000000000000010000000001001111101111101000000000101010101010101110001110001000001010101000001111101000001000001010100001100000000000000000001000000000100010010001000100000010000100000101010101000101000100000111010100000100010100000111000011010000110000000000000000000100000000010011111011111010000010000011100011111011111011111010000010101010000011111000000000000000101000011000000000000000000010000000001001010000010001000001000000010000010001010001010001000001000001000000010000000000000000010000001100000000000000000001100000000100101000111000100000111000111110111000111110111110100000111110100000001000000000000000111000000110000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111111111111101100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001001100000000000000000000000000000000000000000000000000000000000000000000000000000000001110111010000000000110000000000000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000100000111000000000011000000000000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000001100000000000000000001000011000100001110001110000010001110111010000010111000101110111010111110111110001000001000010000000010000110000000000000000000100001100010000010000100001011000001011101001011000100011000011101000001010000000100000100010000001001000011000000000000000000010000011001000011101111101110100000101010101110101010001110001010101010101000001111100010001000000000100001100000000000000000001000001100100010000001000001000010000100000001000100000101000100000111010100000100010111000100000010000000110000000000000000000100000011010010001011111011100010000011100011100010111011111011100010101010000011111000000000000010101000011000000000000000000010000001101001000100010001010001000000010001010001000001010000010001000001000000010000000000000001010100001100000000000000000001000000011100111110111000111000111000111110111000111000111110111110111110100000001000000000000000111110000110000000000000000000100000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001000000001100000000000000000000000000000000000000000000000000000000000000000000000000111110101110000000000110000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000011000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000001000001110000000000001100000000000000000001000000000100111000001110101110001110111010000010101110001000111000111110111110001000100000100000111000000110000000000000000000100000000010000010000100010000000001011101001011011000000100000100000001010000000100010000011100010010000011000000000000000000010000000001001111101111101110000000101010101110101110001111101010001010101000001111101000001000001010100001100000000000000000001000000000100010010001000100000010000100000001000101000100010100000111010100000100010100000111000011010000110000000000000000000100000000010011111011111011100010000011100011100011111011111010111010101010000011111000000000000000101000011000000000000000000011000000001001010000010001000001000000010001010001010000010001000001000001000000010000000000000000010000001100000000000000000001110000000100101000111000111000111000111110111000111110001000111000111110100000001000000000000000111000000110000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000011101110100000000001100000000000000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000001000001110000000000110000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000011000000000000000000010000110001000011100011101111100011101110001111101110100000101110101111101111100010000010000100000000100001100000000000000000001000001000100000100001000100000000010001000100000111010010110001110000010100000001000001000100000010010000110000000000000000000100000110010000111011111010000000001010100010000010101011101000101010101010000011111000100010000000001000011000000000000000000010000001001000100000010001000000100001000001000001000000010000100001110101000001000101110001000000100000001100000000000000000001000000110100100010111110100000100000101110100000111000111000100000101010100000111110000000000000101010000110000000000000000000100000001010010001000100010000010000010000010000000100010100010000010000010000000100000000000000010101000011000000000000000000010000000111001111101110001000001110001110001000001111101110001000001111101000000010000000000000001111100001100000000000000000001000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000001111101110100000000001100000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000111010000000000110000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000010000010101000000000011000000000000000000010000000001001110000011101110000011101011100000100000100010001110101111101111100010001000001000001110000001100000000000000000001000000000100000100001000001000000010100000010110010110001000001110000010100000001000100000111000100100000110000000000000000000100000000010011111011111010100000001011100011101011101011111000101010101010000011111010000000100010101000011000000000000000000010000000001000100100010001000000100001000000010000010001000100100001110101000001000101000001111100110100001100000000000000000001000000000100111110111110101110100000111000111000111000111110100000101010100000111110000000000000001010000110000000000000000000100000000010010100000100010000010000010000010100010100000100010000010000010000000100000000000000000100000011000000000000000000010000000001001010001110001110001110001110001110001110000010001000001111101000000010000000000000001110000001100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001111111111111011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000001110111110000000000000000000000000000000000000110001110100000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000011000100110000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000001100010001000000000000000000000000000000000000000000000000000001110001110111000001000100000001110111110001110111000000000000000110001100000000000000000000000000000000000000000000000000000001100000100010010000100010000000100010000000100010010000000000000011000100000000000000000000000000000000000000000000000000000000010101111101010100010001000001111101000001010101010100000000000001100010000000000000000000000000000000000000000000000000000000011010001000011010111000100000001000100000100010011010000000000000110001110000000000000000000000000000000000000000000000000000011111011111000101000000000000011111010000010101000101000000000000011000000000000000000000000000000000000000000000000000000000001010100010000010000000000000000010001000000010000010000000000000001100000000000000000000000000000000000000000000000000000000000111110111000111000000000000000111000100000111000111000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000001111100000000011101110001111100011100000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000010010000000001000000100000010000100000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000001000000011111011111010101000111000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000100000011100010000100101110100100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000001110010000111110111110101010100010000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000100010000000100010100010000010001000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000010001000001110001010001111101111100000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001110000011101110000000100000101110100000101110001011101110101011100000100011100011100000000000000000000000110000000000000000000000000001000001000010010010010001110010010001000100000111010110000010010001000011000000000000000000000000011000000000000000000000000011111010100010101010101000101010101010100011100010101011100000001010101000101000000000000000000000001100000000000000000000000000010001000001010101010100100001010101000001000001000001010000100001000100110100000000000000000000000110000000000000000000000000111110101110111110111110100000111110101110111000111000111110101010101010111110000000000000000000000011000000000000000000000000000100010000000100000100010000000100010000010000000100010100010101000100010101000000000000000000000001100000000000000000000000001110001110001110001110001000001110001110001110001111101111101111101110001111100000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000001100010010100100010010100000000000000000000000000000000000000011100000000000000000000000000000000000000000010010100100010010100110010100100101010100100000000000000000000000000000000000000001011100000000000000000000000000000000000000010100100101010100100011000100101001000100101000000000000000000000000000000000000000110000000000000000000000000000000000000000000100101001000100101001100000000000000000000000000000000000000000000000000000000000010111000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000111010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000011100000000000110000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000011000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000101000000000001100001110001110111110111110111000001110111110100000000000011111011111000111011111000111011111000001000111000111010000000000000110001010000100001010000001000100000100001000010111000000001000000111000010001000000110100000100100100010000110001011100000000011000011101111101000101010100011101010100011101000000000000100000001110111110100000101110101010000010101010001010100000000000001100001000001000100010111010001000100010011010111000000000010000000100000100010000010001011101001000010001001101011100000000000110000111011111011111010101011100010101000101000000000000001000001110001111101000001010101010101010101010101111100000000000000011000010000010000010001000001000000010000010100000000000000100000001000001000100000001010100000101010001000101010000000000000001100111000111000111110111110101110111000001010000000000000010000011111011100010000000101011111011111011100011111000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000011100000000000000011100000000000000000000000000000000000000000000000111000000000000000111000011000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000100000000000000000100001100000000000000000000000000000000101000000000000000101000000000000000000000000000000000000000000000001010000000000000001010000110011101000111011111011111011100010000000111011111010000000111110111110001110111110001110111110000010100000001110001110100000011001001100010000101000000100010001011100010000100001011100010000001001000100010000001101000001001001010111000100001100010111001100100010111110100010101010001110100000101010001110100000001000001010101111101000001011101010100000101000001010100010101000000110011000000100010001011101000100011100010001001101011100000100000101000001000100000100010111010010000111000100010011010111000011001000001111101111101010101110000000001010100010100000000010000011111011111010000010101010101010101000000010101011111000000001100100000001000001000100000100000000000001000001010000000001000001010000010001000000010101000001010100000000010001010100000000110011100011100011111011111010111000000011100000101000000000100000101000111000100000001010111110111110000000111000111110000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000111000000000001100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000110000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000001010000000000011001110000011101111100011101110000011101111101000000000000111110100010001110111110001110001110000010001110001110100000000000001100110100001000010100001000001000001000010000101110000000010000011011000100010000001101000100001001000100001100010111000000000110011100011111010001010101000111010101000111010000000000001000001010101111101000001011101010100000101010100010101000000000000011001010000010001000101000100010001000100110101110000000000100000001000001000100000100010100010010000100010011010111000000000001100111010111110111110101010111000101010001010000000000000010000010101011111010000010101010101010101010101011111000000000000000110010101000100000100000100010000000100000101000000000000001000001000100010001000000010100010001010100010001010100000000000000011001010101110001111101110001011101110000010100000000000000100000100010111000100000001010111000111110111000111110000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000111000000000000000111110000000000000000000000000000000000000000000001110000000000000001111100110000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000001000000000000000100000011000000000000000000000000000000001010000000000000001111100000000000000000000000000000000000000000000010100000000000000011111001100100000001110111110111110111000100000001110111110100000001111101110000011101111100011101111100000101000000011100011101000000110011000000100001010000001000100010111000100001000010111000100000110000001000100000011010000010010010101110001000011000101110011001111101111101000101010100011101000001010100011101000000010000010101011111010000010111010101000001010000010101000101010000001100100010001000100010111010001000111000100010011010100000001000001010100010001000001000101110100100001110001000100110101000000110011101011111011111010101011100000000010101000101000000000100000101110111110100000101010101010101010000000101010111110000000011000010000010000010001000001000000000000010000010100000000010000010100000100010000000101010000010101000000000100010101000000001100111000111000111110111110101110000000111000001010000000001000001110001110001000000010101111101111100000001110001111100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000011111000111011100000111011111000111000001000111000111000000000000000000000000000000000000000000000000000001100000000000000000000100100010000010000010000100000010000100100010000110000000000000000000000000000000000000000000000000000000110000000000000000000000010111110001110101010001110111110000010101010001010000000000000000000000000000000000000000000000000000011000000000000000000001000000100000100010001001101000100001000010001001101000000000000000000000000000000000000000000000000000001100000000000000000000011101111101110001010100010101111101010101010101111100000000000000000000000000000000000000000000000000000110000000000000000000001000001000100000001000001010001000101010001000101010000000000000000000000000000000000000000000000000000011000000000000000000000100011100010111011100000101011100011111011100011111000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000011100000000000000000000000000011100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000101000000000000000000011000000000000000000011111000111011111000111011111000111011100000111011111010000000111000001000111000111010000000000000000000001100000000000000000000100100010000100100010000100000010000010000010000100001011100010000100100010000110001011100000000000000000110000000000000000000111010111110000010101010001110111110001110101010001110100000111110000010101010001010100000000000000000000011000000000000000000001000000100001000010001001101000100000100010001001101011100000100001000010001001101011100000000000000000001100000000000000000001110001111100011101010100010101111101110001010100010100000001111101010101010101111100000000000000000000000110000000000000000000001000001000001000001000001010001000100000001000001010000000001000101010001000101010000000000000000000000011000000000000000000000111011100000100011100000101011100010111011100000101000000011100011111011100011111000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111110101110000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000010000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111101110000000000000000000000011000111010001110000010001110000010111000000010001000111010111010111010111110111110001000001000100000100000111110111110001110001100011011000100001011000001001011000100001011000100000111011101011101000001010000000100000100010111011100001001000001001100000110001011101111101110100000101110101010001110101111100010101010101010101010101000001111100010001000001000001010101010100010100011000011010001000001000010000001000100000001000100010010000100000100000111010100000100010111000100000111000101000111010011010001100010101011111011100010000011100010111011100011111010000011100011100010101010000011111000000000000000000011111010101011111000110001010000010001010001000001010001000001010000010001000000010000010001000001000000010000000000000000000001010001000001010100011000111110111000111000111000111000111000111000001000100000111110111110111110100000001000000000000000000000101000111110111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000111011111011111000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000010001000001000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000001000100000100000000000000000000000000000000000001100000111000111000001000111000001011101011100011101000001011111011111000100000100010000010000000000000000000000000000000000000110000101100010000101100000100101100011100010001110100100100000101000000010000010001000001000000000000000000000000000000000000011000111010111110111010000010111010001010101000101010101010101010100000111110001000100000100000000000000000000000000000000000001100001101000100000100001000000100001000010000010000010101011101010000010001011100010000010000000000000000000000000000000000000110001110101111101110001000001110001000001011101110001111101010101000001111100000000000000000000000000000000000000000000000000011000101000001000101000100000101000100000100000001000001000100000100000001000000000000000000000000000000000000000000000000000001100011111011100011100011100011100010000011100011111011100011111010000000100000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000011111000000000000000111011111000000000000000111011100000000000000000000000000000000000000000000000000000110000000000000000000001000000000000000000010001000000000000000000010000010000000000000000000000000000000000000000000000000000011000000000000000000000111110000000000000001000100000000000000000001000101000000000000000000000000000000000000000000000000000001100000000000000000001010000011111000111000100010000011111000111000100010000000000000000000000000000000000000000000000000000000110000000000000000100101011100000100110100010001000000000100110000010001011100000000000000000000000000000000000000000000000000011000000000000000000010100000101010101110001000100000101010001010001000100000000000000000000000000000000000000000000000000000001100000000000000001000010000011101010001011100010000011101001101011100011100000000000000000000000000000000000000000000000000000110000000000000001010100000001010101010100000000000001010101111100000000000000000000000000000000000000000000000000000000000000011000000000000000101010000000100000001010000000000000100000101010000000000000000000000000000000000000000000000000000000000000001100000000000000011111000000011111000101000000000000011111011111000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000110001001010010010100100000000000000000000000000000000000000001110000000000000000000000000000000000000000001001010010010100100011001010010010100100101000000000000000000000000000000000000000101110000000000000000000000000000000000000001010010010100100101001100010010100100101001000000000000000000000000000000000000000011000000000000000000000000000000000000000000010010100100101001000110000000000000000000000000000000000000000000000000000000000001011100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011101000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000101000000111110101110001110001000111000111010111110000000000000000111000001000001110111000000010101110111110000000000000011000011100000010000010000000001000100000100011101001010000000000000000000100000100000001000100001011011000001010000000000000001100001010100001000001110000000101111101010001010101111100000000000000001010001111100000101010001110101110001111100000000000000110000000010000100000100000010000100010100000100000001000000000000000000100000100010010000100000001000101000001000000000000000011000011101000010000011100010000011111010111011100010101000000000000000010111011111010000010111011100011111010101000000000000001100000010100001000001000001000000010001000000010001010100000000000000001000000010001000001000001010001010001010100000000000000110000111110000100000111000111000001000111000111110101010000000000000000111000001000111000111000111000111110101010000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001010000101110111110001000001000111110000000000000000000000000000101110101110111010001000111110000000000000000000000000011000001111000010000010000000100000100001010000000000000000000000000000010000010000000111000100001010000000000000000000000000001100001110100001110001000001111101111101111100000000000000000000000000001110001110000010101111101111100000000000000000000000000110000010000000100000100000100010100010001000000000000000000000000000000100000100000010000100010001000000000000000000000000000011000000001000011100010000011111011111010101000000000000000000000000000011100011100010000011111010101000000000000000000000000001100000000100001000001000000010000010001010100000000000000000000000000001000001000001000000010001010100000000000000000000000000110000000010000111000100000001000001000101010000000000000000000000000000111000111000100000001000101010000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000101000000111110101110101110001110111110000010111110000000000000000111010111110111010001110111010111010111110000000000000011000011110000010000011000011000000001010000001011001010000000000000000000111010000000111000001000111011101001010000000000000001100001110100001111101110001110000000101111101110101111100000000000000000010101000000010100000100010101010101111100000000000000110000010010000100000101000101000010000100000001000001000000000000000000010000100000010000010000010000100000001000000000000000011000011111000010111011111011111010000010111011100010101000000000000000010000010000010000010000010000011100010101000000000000001100001010100001000001010001010001000001000001010001010100000000000000001000001000001000001000001000000010001010100000000000000110000101010000100000111110111110111000100000111000101010000000000000000100000100000100000111000100000111110101010000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000111010000000010000010111000001110111010111110111110000000000000000111110111110101110001000111110000000000000000000000000011000001111000001011001001000100000001000111010000001010000000000000000010000010000011000000100001010000000000000000000000000001100000011100001110101010101010000000100010101111101111100000000000000001000001000001110001111101111100000000000000000000000000110000010010000001000101010100000010000010000100000001000000000000000000100000100000101000100010001000000000000000000000000000011000000111000011100011111010111010000010000010111010101000000000000000010000010000011111011111010101000000000000000000000000001100000010100001010000010001000001000001000001000001010100000000000000001000001000001010000010001010100000000000000000000000000110000111010000111000111000111000111000100000100000101010000000000000000100000100000111110001000101010000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000100000000111110111000111110101110111110000000000000000000000000000111000101110111110000010111110000000000000000000000000011000011010000010000000100010000010000001010000000000000000000000000000000100010000010000001011001010000000000000000000000000001100001011100001000001010001111101110001111100000000000000000000000000001010001110001111101110101111100000000000000000000000000110000010010000100000100000100000100000001000000000000000000000000000000100000100000100000001000001000000000000000000000000000011000010111000010000010111010111011100010101000000000000000000000000000010111011100010111011100010101000000000000000000000000001100001010100001000001000001000001000001010100000000000000000000000000001000001000001000001010001010100000000000000000000000000110000111110000100000111000100000111000101010000000000000000000000000000111000111000100000111000101010000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000010000111110111110111110111010001110111010101110111110000000000111110111110111110001000111110000000000000000000000000011000001011000010000010000010000000111000001011101011000001010000000000010000010000010000000100001010000000000000000000000000001100001110100001000001111101111100010100000101010101110001111100000000001111101000001111101111101111100000000000000000000000000110000111000000100000100000100000010000010000100000101000001000000000000100000100000100000100010001000000000000000000000000000011000011111000010000010111010111010000010000011100011111010101000000000010111010000010111011111010101000000000000000000000000001100000000100001000001000001000001000001000000010001010001010100000000001000001000001000000010001010100000000000000000000000000110000111010000100000100000100000100000111000111110111110101010000000000100000100000100000001000101010000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000011100000111011111000100011111011111000100000100010001000000000000000000000000000000000000001100000000000000000000000000000000001101000010001000000010001000001000000010000010001101100000000000000000000000000000000000000110000000000000000000000000000000000111000111110100000111110100000111110111110111110101010000000000000000000000000000000000000011000000000000000000000000000000000010100000100010000010001010000010000010001010001000100000000000000000000000000000000000000001100000000000000000000000000000000001110101111101000001111101000001011101111101111101010100000000000000000000000000000000000000110000000000000000000000000000000000101010001000100000001000100000100000001000001000100010000000000000000000000000000000000000011000000000000000000000000000000000010101011100010000000100010000010000000100000100010001000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001010001010001110000001110001110101111101111100000000000000000000001111101110101111101111100000000000000000000000000000000110000111000111000011100000001000001110100000010100000000000000000000000100000001110100000010100000000000000000000000000000000011000010101010101000101000010100000101011111011111000000000000000000000011111000101011111011111000000000000000000000000000000001100000000100000100110100001000000100001000000010000000000000000000000001000000100001000000010000000000000000000000000000000000110000111010111010111110000101110100000101110101010000000000000000000000101110100000101110101010000000000000000000000000000000011000000101000101000001000010000010000010000010101000000000000000000000010000010000010000010101000000000000000000000000000000001100001111101111101111100001110001000001000001010100000000000000000000001000001000001000001010100000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000011111111111111111111111000000000000000000000011111111111111111111111000000000000000000000000000000001100000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000110000000000000000000000000000000000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000011000000000000000000000000000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000001100000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000110000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000011000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000001100000000000000000000000000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000110000000000000000000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000011000000000000000000000000000000000000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000001100000000000000000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000000000000110000000000000000000000000100000000000000100000000000000000000000000000000000001000000000000100000000000000000000000000000000011000000000000000000000000111000000000000001000000000000000000000000000000000000100000000001100000000000000000000000000000000001100000000000000011111000101010000000000000100000000000000000000000000000000000010000000001000000000000000000000000000000000000110000000000000000010000000100000000000000001000000000000000000000000000000000010000000001000000000000000000000000000000000000011000000000000000001000000010000000000000000100000000000000000000011111111111111111111111000000000000000000000000000000000000001100000000000000000100000001000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000110000000000000001010000000100000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000011000000000000000100000000010000000000000000001000000000000000011000000000000000000000000000000000000000000000000000000000000001100000000000000010000000001000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000100000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000010000000000000000000100000000000010000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000001000000000000000000001000000000110000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000100000000000111111111111111111100000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000001000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000001000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000101110000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000011000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001100000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111001111100000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000011000100101001001010101000000000000000000000000000000000000000111000000000000000000000000000000000000000000100101001001010101001100101001001010010001000000000000000000000000000000000000000010111000000000000000000000000000000000000000101001001010010001000110001001010010010101010000000000000000000000000000000000000001100000000000000000000000000000000000000000001001010010010101010011000000000000000000000000000000000000000000000000000000000000101110000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000110011000000000000000000000000000000000000000000000000000000001110100000000000000000000000000000000000000000000000000000000000011000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000111010000000000000000000110000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000111000000000000000000011000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010100000000000000000001100000000000100000000000000000111110000000001110111110001110000010001000111110111110111110001000100000010000001110000000000000110000000000001000000000000000001010000000000100010000000001001001000100010000000001010000000100010111010000001101000000000000011000000000000100000000000000001000100000001111101000000000101010101111101000001010101000001111101000001000001011100000000000001100000000000001000000000000000100010001110001000100000010000101010100010100000111010100000100010111000100000100010000000000000110000000000000010000000000000011111001110011111010000010000011111011111010000010101010000011111000000000000010101000000000000011000000000000000100000000000000010000010000010001000001000000010000010001000001000001000000010000000000000000010100000000000001100000000000000010000000000000111110010000111000100000111000111000001000100000111110100000001000000000000000001010000000000000110000000000000001000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000010000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000100000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000111010000000000000000000000000110000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000011000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000001100000000000000000000100000000111110000000001110111010001110111110111110111010111110111110001000010000000010000000000000000000110000000000000000000010000000001101000000000100000111000001010000010000000111000001010000000100010000001001000000000000000000011000000000000000000000100000000011100000001111100010100000101000001111100010101010101000001111101000000000100000000000000000001100000000000000000000010000000011000001110001000010000010000100000100000010000111010100000100010100000010000000000000000000000110000000000000000000001000000010111001110011111010000010000010000010111010000010101010000011111000000010101000000000000000000011000000000000000000000010000001000100010000010001000001000001000001000001000001000001000000010000000001010100000000000000000001100000000000000000000001000000111010010000111000100000111000100000100000100000111110100000001000000000111110000000000000000000110000000000000000000000100000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000011000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000100000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000001000000000000000000000000000000000011111110000000000000000000000000000000000000000000000000000000000011000000000000000000000000100000000000000000000000000000000111000001110000000000000000000000000000000000000000000000000000000001100000000000000000000000010000000000000000000000000000000110000000001100000000111111100000000000000000000000000000000000000000110000000000000000000000001000000000000000000000000000000110000000000011000001110000011100000001111100001111100000000000000000011000000000000000000000000100000000000000000000000000000010000000000000100001100000000011000001100011001100011000000000000000001100000001111100000000000010000000000000000000000000000011000001110000011000100000000000100000100000100100000100001100000000000110000000010100000000000001000110000011100001110000111001000001110000000100110000000000011000010000010010000010000110000000000011000000010000000000000000100011000001010000101000010100100000010000000010010000000000000100001000001001000001000000000000000001100000001100000000000000010000000000111000011100001110010000010000000001001000000000000010000110001100110001100000000000000000110000000111010000000000001000000000000000000000000000001000000111000000100100000000000001000001111100001111100000000000000000011000000000001000000000000100000000000000001111100000000100000010100000010010000000000000100000000000000000000000000000000000001100000000011100000000000010000000000000000011100000000010000111110000001001000000000000010000000000000000000000000000000000000110000000000000000000000001000000000000000000100000000001000000000000000100110000000000011000000000000000000000000000000000000011000000000000000000000000100000000000000000100000000000110000000000000110001000000000001000000000000000000000000000000000000001100000000000000000000000010000000000000000111010000000001000000000000010000110000000001100000000000000000000000000000000000000110000000000000000000000001000000000000000010001000000000110000000000011000001110000011100000000000000000000000000000000000000011000000000000000000000000100000000000000001111100000000001100000000011000000001111111000000000000000000000000000000000000000001100000000000000000000000010000000000000000000000000000000011100000111000000000000000000000000000000000000000000000000000000000110000000000000000000000001000000000000000000000000000000000011111110000000000000000000000000000000000000000000000000000000000011000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001111100010000000000000000000011000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000001100000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000011111011111000000000000000000110000000000000000010000000000001111100000000011101111100011100000100000101111101111101111100010001000001000100011100000000000011000000000000000010000000000000010100000000001000100000000010010010010010100000000010100000001000101110111110011010000000000001100000000000000001000000000000010001000000011111010000000001010101010101010000010101010000011111010000000100010111000000000000110000000000000001000000000000001000101111100010001000000100001010101010101000001110101000001000101000000010001000100000000000011000000000000000100000000000000111110010100111110100000100000111110111110100000101010100000111110000000000000101010000000000001100000000000000100000000000000000100010000000100010000010000000100000100010000010000010000000100000000000000000101000000000000110000000000000100000000000000001111101100001110001000001110001110001110001000001111101000000010000000000000000010100000000000011000000000000100000000000000000000000111010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000010000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000001100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000000000000000000110000010000000000000000000000001111100000000011100000100011100000101110100000101110101111101111100010001010000000100000000000011000010000000000000000000000000011010000000001000010110000010010010111010010010111010000010100000001000111110010010000000000001100110000000000000000000000000000111000000011111011101000001010101010101010101010101010101010000011111010100000001000000000000110000000000000000000000000000000110001111100010000010000100001010101000001010101000001110101000001000101111100100000000000000011000000000000000000000000000000101110010100111110111000100000111110111000111110111000101010100000111110000000101010000000000001100000000000000000000000000000010001010000000100010100010000000100000100000100000100010000010000000100000000010101000000000000110000000000000000000000000000001110101100001110001110001110001110001111101110001111101111101000000010000000001111100000000000011000000000000000000000000000000000000111010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000011111000000000111011101011101000001011111011111000000000000000000000000000000000000000000000000110000000000000000000000000000000010000000000010001110100011100101101000000101000000000000000000000000000000000000000000000000011000000000000000000000000000000001000000000111110101010001010111010111110111110000000000000000000000000000000000000000000000001100000000000000000000000000000000100011111000100010000001000000100010000000100000000000000000000000000000000000000000000000000110000000000000000000000000000001010000101001111101110001000001110001011101010100000000000000000000000000000000000000000000000011000000000000000000000000000000100000100000001000001000100000101000100000101010000000000000000000000000000000000000000000000001100000000000000000000000000000010000011000011100011111010000011100010000010101000000000000000000000000000000000000000000000000110000000000000000000000000000000000001110100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000001100010010101010010001000000000000000000000000000000000000000001110000000000000000000000000000000000000000010010101010010001000110010100100010010101010000000000000000000000000000000000000000010000000000000000000000000000000000000000010100100010010101010011000100101010100100010000000000000000000000000000000000000000010000000000000000000000000000000000000000000100101010100100010001100000000000000000000000000000000000000000000000000000000000011101000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000011000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000110000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000110000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001000000011111000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001000000000111000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000100000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000011000010000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000001100001000000001110100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000110000100000000100010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000011000010000000011111000000000010000000000000000000000000000000000000000000000000000000000000000000011101000000000001000001000001100001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001110100000000000110001100000110000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000101010000000000001111100000011000010000000000000000000000010000111000000000000000111011111010111010111010111011111011111000100010000000001000000000000000001100000100000000000000000000010000100000000000000000010001000001100001000001000000000101000000010001110000100100000010001000000110000010000000000000000000001000100000000000000000111110111110111000111000111000101010100000111110001000000010000001101100000011000000100000000000000000001000010000011111010001000100010000010100010000010000011101010000010001011111001000000000100010000001100000011000000000000000001100001000000111001101101111101011101111101110001110001010101000001111100000001010100000011000000000110000000110000000000000001100000100000001000100010001000100000101000100000100000100000100000001000000000101010000001111100000011000000001100000000000001100000010111001000011000011100010000011111011100011100011111010000000100000000011111000000000010000001100000000001100000000011000000000000001110101111100000000000000000000000000000000000000000000000000000000000000000000001000000110000000000001111111110000000000000000100010000010000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000011111000001000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000001100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000111000111000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000001010001010000000000000000000000000000000000000000000110000000000000111110000000001110111010001110111110111010111110111110001000100000100000001110000000000000000000000000000000000011000000000000001010000000000100000111000001010000011101000001010000000100010111010111001101000000000000000000000000000000000001100000000000001000100000001111100010100000101111101010101010101000001111101000001000001011100000000000000000000000000000000000110000000000000100010100010001000010000010000100000100000111010100000100010111000111000100010000000000000000000000000000000000011000000000000011111011011011111010000010000010111011100010101010000011111000000000000010101000000000000000000000000000000000001100000000000000010001000100010001000001000001000000010001000001000000010000000000000000010100000000000000000000000000000000000110000000000000111110110000111000100000111000100000111110111110100000001000000000000000001010000000000000000000000000000000000011000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000001110100000000000000000000000000000000000000000000000110000000000000111110000000001110111110001110111010101110111110111110001000001000000010000000000000000000000000000000000000000011000000000000001101000000000100010000000001000111010000000001010000000100011100001001000000000000000000000000000000000000000001100000000000000011100000001111101000000000100010101110001010101000001111101010000000100000000000000000000000000000000000000000110000000000000011000100010001000100000010000010000100000111010100000100010111000010000000000000000000000000000000000000000000011000000000000010111011011011111010000010000010000011100010101010000011111000000010101000000000000000000000000000000000000000001100000000000001000101000100010001000001000001000001000001000001000000010000000001010100000000000000000000000000000000000000000110000000000000111010110000111000100000111000100000111000111110100000001000000000111110000000000000000000000000000000000000000011000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000001110001011100000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000010100011100000000000000000000000000000000000001100000000000001111100000000011101110100011100000101110101110101111101111100010001000001000000011100000000000000000000000000000110000000000000010100000000001000111010000010010010001110001110000010100000001000101110111000011010000000000000000000000000000011000000000000010001000000011111010101000001010101000101000101010101010000011111010000010000010111000000000000000000000000000001100000000000001000101111100010001000000100001010100100000100001110101000001000101110001110001000100000000000000000000000000000110000000000000111110011100111110111000100000111110100000100000101010100000111110000000000000101010000000000000000000000000000011000000000000000100000100000100000100010000000100010000010000010000010000000100000000000000000101000000000000000000000000000001100000000000001111100100001110001111101110001110001000001000001111101000000010000000000000000010100000000000000000000000000000110000000000000000000111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000011101000000000000000000000000000000000000000001100000000000001111100000000011100000100011101111101110101011101111101111100010000010000000100000000000000000000000000000000000110000000000000011010000000001000010110000010100000001110110000000010100000001000111000010010000000000000000000000000000000000011000000000000000111000000011111011101000001011111000101011100010101010000011111010100000001000000000000000000000000000000000001100000000000000110001111100010000010000100001000000100001010001110101000001000101110000100000000000000000000000000000000000000110000000000000101110011100111110111000100000101110100000111110101010100000111110000000101010000000000000000000000000000000000011000000000000010001000100000100010100010000010000010000010100010000010000000100000000010101000000000000000000000000000000000001100000000000001110100100001110001110001110001000001000001111101111101000000010000000001111100000000000000000000000000000000000110000000000000000000111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110111110000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000110000000000000001110000000000000001110111110001110101110000010111010111010111110111110001000100000100000000010000000000000000011000000000000001000000000000000000100010000000001010000001001011101000111000001010000000100010000010000001001000000000000000001100000000000001000000000000000001111101000000000101110001010101010100010101010101000001111101000001000000000100000000000000000110000000000000100000111110111110001000100000010000100000101010100000010000111010100000100010100000100000010000000000000000000011000000000000010000001110001010011111010000010000011100011111011100010000010101010000011111000000000000010101000000000000000001100000000000001000000010001000000010001000001000001000000010000010001000001000001000000010000000000000001010100000000000000000110000000000000101110010000110000111000100000111000111000111000111110100000111110100000001000000000000000111110000000000000000011000000000000000000011101011101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000111110001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000110001001010101001001010000000000000000000000000000000000000000111000000000000000000000000000000000000000001001010101001001010011001010010001001010010000000000000000000000000000000000000000001000000000000000000000000000000000000000001010010001001010010001100010010101010010010100000000000000000000000000000000000000001000000000000000000000000000000000000000000010010101010010010100110000000000000000000000000000000000000000000000000000000000001110100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000001111101110000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000011100100000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000100000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000111010000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000010001000000000000000000001000000000000000000000000011111000111011100011111000001000100011101000001011111000111000001100000000001111100000000000000000000110000000000000000000000000100000010000010001000000101100010001110100100101000000110000000110000000000000000000000000000000000001000000000000000000000000001110111110101000111110111010111110101010101010100000001010000011000000000000000000000000000000000000010000000000000000000000001101000100010000010000000100010001010000010101010000001101000001100000000000000000000000000000000000001000000000000000000000000010101111101011101011101110001111101110001111101000001111100000110000000010000000000011111000000000000010000000000000000000000001010001000100000100000101000001000001000001000100000101010000011000000011100000000000101000000000000001000000000000000000000000101011100011100010000011100000100011111011100010000011111000001100000011111000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001111100000000011000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001000000000001110100000000000001000000000000000000000000000000000000000000000000000011111111111000000000000000000000001100000000100000000000000010000000000000100000000000000000000000000000000000000000000000000111000000000111000000000000000000000110000000010000000000000111000000000000010000000000000000000000000000000000000000000100010110000000000000111000000000000000000011000000001000000000000000000000000000001000000000000000000000000000000000000000000011011000000000000000000110000000000000000001100000000100000000000000000000000000000100000000000000000000000000000000000000000001000100000000000000000001100000000000000000110000000010000000000000000000000000000010000000000000000000000000000000000000000000110000000000000000000000011000000000000000011000000001000000000000000000000000000001000000000000000000000000000000000000000000011111000000000000000000000100000000000000001100000000010000000000000000000000000001000000000000000000000000000000000000000000000000100000000000000000000011000000000000000110000000001000000000000000000000000000100000000000000000000000000000000000000000000000010000000000000000000000110000000000000011000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000111110000000000001000000000000001100000000001100000000000000000000000110000000000000000000000000000000000000000000000000000000001110000000000000100000000000000110000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000010000000000000011000000000001100000000000000000000011000000000000000000000000000000000000000000000010000000000010000000000000001000000000000001100000000000011000000000000000000011000000000000000000000000000000000000000000000011100000000011101000000000000100000000000000110000000000000110000000000000000011000000000000000000000000000000000000000000000011111000000001000100000000000010000000000000011000000000000000110000000000000110000000000000000000000000000000000000000000000001111100000000111110000000000001000000000000001100000000000000001110000000001110000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000110000000000000000001111111111100000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000011000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000011000000000000000110001111100011101111101111101110101110100000100000101110000000100011100000000000000001000000000000000000000001000000000000000011000010000001000100000100000111010111010010110010010001000010110011000000000000000000110000000000000000000001100000000000000001100000111011111011111010000010101010101011101010101010100011101000101000000000000000001100000000000000000001100000000000000000110000110100010001000001000001000001000000010001010101000000010000110100000000000000000011000000000000000001100000000000000000011000001010111110101110100000111000111000111000111110101110111000111110000000000000000000111000000000000011100000000000000000001100000101000100010000010000000100000100010100000100010000010100010101000000000000000000000111000000000111000000000000000000000110000010101110001000001000001111101111101110001110001110001110001111100000000000000000000000111111111110000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001111100011101111101111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010000001000100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000111011111010000011101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110100010001000001110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001010111110100000101110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000101000100010000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010101110001000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111100000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000000011100000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000011000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000111000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000110000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000011111000000000110000000000000001100000000000000000000000000000011111000111010111000001011111000001010111000111000000000000000000111000000000001000000000000000110000000000000000000000000000000100000010001100000101101000000101101000000110000000000000000000001000000000000100000000000000011000000000000000000000000000000001110111110111000111010100000111010111000001010000001000000000001000000000000010000000000000001100000000000000000000000000000001101000100010100000100010000000100010000001101000001110000000001110100000000001000000000000000110000000000000000000000000000000010101111101111101110001000001110001110001111100001111100000000100010000000000100000000000000011000000000000000000000000000000001010001000101000101000100000101000100000101010000111110000000011111000000000010000000000000001100000000000000000000000000000000101011100011111011100010000011100011100011111000000100000000000000000000000001000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001100000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000011000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000111000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000110000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000001110000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111100000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000101110000000001110101110111010111010001000001000001000001000001000001000001000111110000000000000000000000000001100000000000000011101000000000100010000011101011101000100000100000100000100000100000100000100011000000000000000000000000000000110000000000000001111100000001111101110001010101010101111101111101111101111101111101111101111101110100000000000000000000000000011000000000000000110010111110001000100000100000100000100010100010100010100010100010100010100010111010000000000000000000000000001100000000000000010001001110011111011100011100011100011111011111011111011111011111011111011111010111000000000000000000000000000110000000000000000000100010000010001000000010000010000010000010000010000010000010000010000010001010100000000000000000000000000011000000000000000111110010000111000111000111110111110001000001000001000001000001000001000001000101010000000000000000000000000001100000000000000000000011101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000101110000000001110101110111010111010001000001000001000001000001000001000001000111110000000000000000000000000001100000000000000011101000000000100010000011101011101000100000100000100000100000100000100000100011000000000000000000000000000000110000000000000001111100000001111101110001010101010101111101111101111101111101111101111101111101110100000000000000000000000000011000000000000000110010111110001000100000100000100000100010100010100010100010100010100010100010111010000000000000000000000000001100000000000000010001001010011111011100011100011100011111011111011111011111011111011111011111010111000000000000000000000000000110000000000000000000101000000010001000000010000010000010000010000010000010000010000010000010001010100000000000000000000000000011000000000000000111110110000111000111000111110111110001000001000001000001000001000001000001000101010000000000000000000000000001100000000000000000000011101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000011000100101010101010010000000000000000000000000000000000000000011100000000000000000000000000000000000000000100101010101010010001100101001000100010010100000000000000000000000000000000000000000100000000000000000000000000000000000000000101001000100010010100110001001010101010100100000000000000000000000000000000000000000100000000000000000000000000000000000000000001001010101010100100011000000000000000000000000000000000000000000000000000000000000111010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000010101010101000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000001111101111100000001011101011101011101011100000100000000000000000110000000000000000000000000000000000000000000000000000000000000101010101010000000110000110000100000110000010010000000000000000011000000000000000000000000000000000000000000000000000000000000001100001100000000011100011100011100011100000001000000000000000001100000000000000000000000000000000000000000000000000000000000001110101110100000001010001010001000001010000100000000000000000000110000000000000000000000000000000000000000000000000000000000000001010001010000000111110111110111000111110101010000000000000000011000000000000000000000000000000000000000000000000000000000000011101011101000000010100010100010000010100010101000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000001111101111101110001111101111100000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000011100011100000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000100000000000000000000000111000111000000000000000111110000000000000000000000000000000000110000000000000000000000000000000000000010000000000000000000000001010001010000000000000000100000000000000000000000000000000000011000000000000000000000000000000000000010100000000000000000000001101001101000000000000000010000000000000000000000000000000000001100000000000000000000000000000000000001010000000000000000000001111101111100000000000000001000000000000000000000000000000000000110000000000000000000000000000000000000101000000000000000000000000010000010000000000000000100000000000000000000000000000000000011000000000000000000000000000000000000010010000000000000000000011111011111000000000000000010000000000000000000000000000000000001100000000000000000000000000000000000010001000000000000000000000000000000000000000000000001000000000000000000000000000000000000110000000000000000000000000000000000001000100000000000000000000000000000000000000000000000100000000000000000000000000000000000011000000000000000000000000000010000000100010000000000000000000010001000000000000000000000010000000000000000000000000000000000001100000000000000000000000000001000000010000100000000000000000001100100000000000000000000001000000000000000000000000000000000000110000000000000000000000000001010000010000010000000000000000000111110000000000000000000000100000000000000000000000000000000000011000000000000000000000000000101000001000001000000000000000000000001000000000000000000000010000000000000000000011000000000000001100000000000000000000000000010100000100000010000000000000000001000100000000000000000000001000000000000000000001100000000000000110000000000000000000000000001010000100000001000000000000000000100000000000000000000000000100000000000000000000001100000000000011000000000000000000000000001000100010000000100000000000000000010111000000000000000000000010000000000000000000000110000000000001100000000000000000000000000100010001000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000110000000000000000000000000010001000100000000100000000000000000000000000000000000000000000100000000000000000111110000000000000011000000001010000000000000010000010100000000010000000000000000000101011100011100000000000010000000000000000001001000000000000001100000000111000000000000001000001010000000000100000000000000000111100111000111000000000001000000000000000001110100000000000000110000000010100000000000000100000101000000000010000000000000000111010001010001010000000000100000000000000000010000011000000000011000000001000000000000000010000010100000000001000000000000000001000001101001101000000000010000000000000000011100001100000000001100000000111110000000000010000000100000000000100000000000000000000101111101111100000000001000000000000000000010000000000000000110000000010001000000000001000000010000000000001000000000000000000010000010000010000000000100000000000000000001110000000000000011000000001011100000000000100000000000000000000100000111110000000001011111011111000000000010000000000000000000000000000000000001100000000000000000000000100000000000000000000010000011100000000000000000000000000000000001000000000000000000000000000000000000110000000000000000000000010000000000000000000000100001011100000000000000000000000000000000100000000000000000000000000000000000011000010000010000010000001000000000000000000000010000010000000000000000000000000000000000010000000000000000000000000000000000001100011100011100011100001000000000000000000000001000011100000000000000000000000000000000001000000000000000000000000000000000000110000100000100000100000100000000000000000000000010001010000000000000000000000000000000000100000000000000000000000000000000000011001111101111101111100010000000000000000000000001000101110000000000000000000000000000000010000000000000000000000000011000000001100001000001000001000001000000000000000000000000100000000000000000000000000000000000000001000000000000000000000000001100000000110000100000100000100001000000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000011000101000101000101000100000000000000000000000000100000000000000000000000000000000000000010000000000000000000000000000000000001100010100010100010100100000000000000000011100000010000000000000000000000000000000000000001000000000000000000000000000000000000110001010001010001010100000000000000000000110000000100110000001100000011000000110000001100100011000000110000001100000000000000011011111111111111111100000000000000000000001000000011100110011001100110011001100110011001100110011001100110011001100000000000001100000000000000000000000000000000000000001000000001000000110000001100000011000000110000001100000011000000110000000000000000000110000000000000000000000000000000000000001111100000100000000000000000000000000000000000000000000001000000000000000000000000000011000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000100000000000000000000000000001100000000000000000000000000000000000000000111000000100000010000000000000000000000000000000000000010000000000000000000000000000110000000000101110111000111000000000000000000000000010000011000000000000000000000000000000000000001000000000000000000000000000011000000000011010001110001110000000000000000000000000100011100000000000000000000000000000000000000100000000000000000000000000001100000000001000100010100010100000000000000000000000010000100000000000000000000000000000000000000010000000000000000000000000000110000000000010010011010011010000000000000000000000001000101110000000000000000000000000000000000001000000000000000000001100000011000000000000101011111011111000000000000000000000000010010100000000000000000000000000000000000000100000000000000000000110000001100000000000010100000100000100000000000000000000000001001010000000001010001010001110000000000000010000000000000000000000000000110000000000111110111110111110000000000000000000000000100000000000000111000111000011100000000000001000000000000000000000000000011000000000000000000000000000000000000000000000000000001000000000000010101010101000101000000000000100000000000000000000000000001100000000000000000000000000000000000000000000000000000100000000000000000100000100110100000000000010000000000000000000000000000110000000000111110111110111000111000111000000000000000010000000000000111010111010111110000000000001000000000000000000000000000011000000000001100001100001110001110001110000000000000001000000000000000101000101000001000000000000100000000000000000000000000001100000000001110101110100010100010100010100000000000000010000000000001111101111101111100000000000010000000000000000000000000000110000000000101010101010011010011010011010000000000000001000000000000000000000000000000000000000001000000000000000000000000000011000000000011101011101011111011111011111000000000000000100000000000000000000000000000000000000000100000000000000000000000000001100000000001010001010000000100000100000100000000000000001000000000001111100000000000000000000000010000000000000000000000000000110000000000101110101110111110111110111110000000000000000100000000000111100000000000000000000000001000000000000000000000000000011000000000000000000000000000000000000000000000000000000010000000000011111000000000000000000000000100000000000000000000000000001100000000000000000000000000000000000000000000000000000000100000000000100100000000000000000000000010000000000000000000000000000110000000000100010100010111000111000111000000000000000000010000000000001110000000000000000000000001000000000000000000000000000011000000000011011011011001110001110001110000000000000000001000000000000101000000000000000000000000100000000000000000000000000001100000000001110101110100010100010100010100000000000000000010000000000010100000000000000000000000010000000000000000000000000000110000000000111000111000011010011010011010000000000000000001000000000000000000000000000000000000001000000000000000000000011000011000000000010111010111011111011111011111000000000000000000100000000000000000000000000000000000000100000000000000000000001100001100000000001010101010100000100000100000100000000000000000010000000001000100000000000000000000000010000000000000000000000000000110000000000101110101110111110111110111110000000000000000000100000000110110000000000000000000000001000000000000000000000000000011000000000000000000000000000000000000000000000000000000000010000000011111000000000000000000000000100000000000000000000000000001100000000000000000000000000000000000000000000000000000000001000000000110000000000000000000000000010000000000000000000000000000110000000000100010111000000000000000000000000000000000000000010000000111010000000000000000000000001000000000000000000000000000011000000000011011001110000000000000000000000000000000000000001000000000001000000000000000000000000100000000000000000000000000001100000000001110100010100000000000000000000000000000000000000010000000011100000000000000000000000010000000000000000000000000000110000000000111000011010000000000000000000000000000000000000001000000000000000000000000000000000001000000000000000000000000000011000000000010111011111000000000000000000000000000000000000000100000000000000000000000000000000000100000000000000000000000000001100000000001010100000100000000000000000000000000000000000000001000000000000000000000000000000000010000000000000000000000000000110000000000101110111110000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000111110000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111011111000100000100000100000001000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000010000010000010000100100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000111110111110111110000010000001100000000000000000000000000000000000000000000000000000000000000000000001110000000000010000010000010001010001010001001000000000110000000000000000000000000000000000000000000000000000000000000000000000001000000000001000001000001111101111101111101010100000011000000000000000000000000000000000000000000000000000000000000000000000010100000000000100000100000001000001000001000101010000001100001111100011100000100011101110100000101110101011100000100011100011101000000000000010000010000000100000100000100011111000000110000010010001000010010000010001110010010001110110000010010001000011000101110000000000000000000000000000000000000000000000000011000011101011111010101000001000101010101000101011100000001010101000101010000000000000000000000000000000000000000000000000000001100000100000010001010100100000100001010100100001010000100001000100110101110000000000000000000000000000000000000000000000000000110000111000111110111110100000100000111110100000111110101010101010111110000000000000000000000000000000000000000000000000000000011000000100000100000100010000010000000100010000010100010101000100010101000000000000000000000000000000000000000000000000000000001100000011101110001110001110001000001110001000001111101111101110001111100000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000010111000000000000000000000000000000000000000000000000000000000000001100010010101010101010100000000000000000000000000000000000001110000000000000000000000000000000000000000000010010101010101010100110010100100010001000100000000000001111000000000000000000000101000000000000000000000000000000000000000000010100100010001000100011000100101010101010101000000000111000011000000000000000000001000000000000000000000000000000000000000000000100101010101010101001100000000000000000000000000000100000000010000000000000000001000100000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000100000000000100000000000000000100010000011111000000000000000000000000000000000000000000000000000011000000000000000000000000000010000000100001000000000000000011101000010000010000000000000000000000011111000000000000000000000001100000000000011110000000000001000011110000100000000000000000000000010000000100000000000000000000000010000000000000000000000000110000000000110101100000000000100110000100010000000000000000000000010000000001000000000000000000000001000000000000000000000000011000000000101010010000000000010100000001001000000000000000000000010000000000010000000000000000000000100000000000000000000000001100000000010100001000000000001100000000010100000000000000000000001001100000001000000000000000000000010000000000000000000000000110000000001010010100000000000010110001100100000000000000000000001001001111000010000000000000000000001000000000000000000000000011000000000100001010110000000001001000100010000000000000000000000101000000010001000000000000000000000100000000000000000000000001100000000010000001101000000000100001000011000000000000000000000010100000001000010000000000000000000010000000000000000000000000110000000001000000100100000000001000110001000000000000000000000001010110110100001000000000000000000001000000000000000000000000011000000000100000000100000000000100000000100000000000000000000000101001010010000100000000000000000000100000000000000000000000001100000000001000000100000000000001011100010000000000000000000000010100000000100010000000000000000000010000000000000000000000000110000000000100000100000000000000100000011000000000000000000000001010100100010001000000000000000000001000000000000000000000000011000000000010000100000000000000001000110100000000000000000000000101001100010000100000000000000000000100000000000000000000000001100000000001000010000000000000001011100011000000000000000000000010110000011000010000000000000000000010000000000000000000000000110000000000100001000000000000001000000000010000000000000000000001001111110100001000000000000000000001000000000000000000000000011000000000010000100000000000011000000000000100000000000000000000010010000010000100000000000000000000100000000000000000000000001100000000001000010000000000110000000000000001100000000000000000001001000000100010000000000000000000010000000000000000000000000110000000000100001000000011100111110000111111001110000000000000000101000000001001000000000000000000001000000000000000000000000011000000000010000100000110000100000011000000010000100000000000000010100000000011100000000000000000000100000000000000000000000001100000000001000010000100000100000000000000000100001000000000000011100011100001001100000000000000000010000000000000000000000000110000000000100001000100000000000000000000000000000010000000000110001110001111000001000000000000000001000000000000000000000000011000000000010000100010000000000000000000000000000001000000000100000000000000000000010000000000000000100000000000000000000000001100000000001000010110000000000000000000000000000000010000000100000000000000000000000100000000000000010000000000000000000000000110000000000100000100000000000000000000000000000100001000000010000000000000000000000001000000000000001000000000000000000000000011000000000010000010000000000000000000000000000010000100000001000000000000000000000000100000000000000100000000000000000000000001100000000001000001000000000000000000000000000010000010000001000000000000000000000000001000000000000010000000000000000000000000110000000000100000000000000000000000000000000001000001000000100010000000000000000100000010000000000001000000000000000000000000011000000000010000000000001100100000000000000100100000100000010001000000000000000111000001000000000000100000000000000000000000001100000000001000000000000101000000001100000000110000010000001001000000000000000001010000010000000000010000000000000000000000000110000000000100000000000100011111111001111111101000001000000100100000000000000000100100000100000000001000000000000000000000000011000000000010000000011101000000000000000000000100000100000010010100000000000010010001000010000000000100000000000000000000000001100000000001000000011000100000000000000000000010000001000001001000001000010000011000010000100000000010000000000000000000000000110000000000011000110000001000000001010000000001000000100000100010001100000100010100001100010000000001000000000000000000000000011000000000000011100000000100000001000100000000100000010000010001111000000001110010000100001000000000100000000000000000000000001100000000000000000000000010000001000001000000010000001000001000110000000000000001000100001000000000010000000000000000000000000110000000000000000000000001000000100000100000011100000100000100011000000000000001000010000100000000001000000000000000000000000011000000000000000000000000010000010000001000001010000010000100001100000000000001100010000100000000000100000000000000000000000001100000000000000000000000001000010000000100000101000001000010001010000000000000100001000100000000000010000000000000000000000000110000000000000000000000000100010000000001000010010000100001000101000000000000010001000010000000000001000000000000000000000000011000000000000000000000000100000000000000000001001000010001100011000000000000000100100010000000000000100000000000000000000000001100000000000000000000000010000000000000000000100100000100100001000000000000000010100001000000000000000000000000000000000000000110000000000000000000000001000000000000000000010010000010010001000000000000000001100001000000000000000000000000000000000000000011000000000000000000000000100000000010000000001001000001001000100000000000000000100001000000000001111100011001011100000100000001100000000000011100000000010000000001000000000100100001000100100000000000000000001000100000000000100000000010110000010010000000110000000000010000000000001000000000000000000010010000100010010000000001000000001000100000000000010000000001011100000001000000011000000000000100000000001000000000000000000001001000010001001000000000100000001000010000000000001000000100001010000100000000001100000000000010000000000100010000000000000100010100001000100100000000000000000100010000000000000100000100000111110101010000000110000000000111010000000010001000000000000010001010000100010010000000000000000010001000000000000010000010000010100010101000000011000000000000001000000001000010000000000010000101000010001001000000000000000000111010000000000001000001110001111101111100000001100000000001111100000000100001000000000001000010100010000100100000000000000000000001000000000000000000000000000000000000000000110000000000000000000000010000100000000000100001010001000010010000000000000000000000100000000000000000000000000000000000000000011000000000000000000000010000001000000000100000101000100001010000001000000000000000010000000000000000100000000000000000000000001100000000000000000000001000000100000000100000001000010000101000000100000001000000000100000000000000010000000000000000000000000110000000000000000000000100000001000000100000000100001000010100000001000000100000000010000000000000001000000000000000000000000011000000000000000000000010000000011000110000000100001000001010000000010000100000000001000000000000000100000000000000000000000001100000000000000000000001000000001010101000000100000100000101000000000100100000000000100000000000000010000000000000000000000000110000000000000000000000100000000101010100000010000010000100100000000001100000000000010000010100000001000000000000000000000000011000000000000000000000010000000010101010000010010001000010010000000000010000000000001000011010000000100000000000000000000000001100001100000000000000001000000001010101000010011000100001001000000000001000000000000100011101000000010000000000000000000000000110000110000000000000000010000000011011000001110100010000110100000000000100000000000010000010100000001000000000000000000000000011000000110000000000000001000000000110100000000010010000001010000000000101000000000010000111110000000100000000000000000000000001100000011000000000000000100000000010010000000001001000000011100000000010100000000001000000100000000010000000000000000000000000110000000000000000000000010000000001001000000001001000000000010000000001001000000000100000011100000001000000000000000000000000011000000000000000000000001000000000100100000001001000000000001000000000100100000000010000000000000000100000000000000000000000001100000000000000000000000100000000010010000000111100000000000100000000010010000000001000000000000000010000000000000000000000000110000000000000000000000010000000001001000000000010000000000001000000001000100000000100000000000000001000000000000000000000000011000000001100111110000001000000000100100000000011000000000000100000000100010000000001000000000000000100000000000000000000000001100000000110001001000000100000000010010000000001000000000000010000000010001000000000100000000000000010000000000000000000000000110000000000001110100000010000000001001000000000100000000000001000000001000010000000010000000000000001000000000000000000000000011000000000000010000000001000000000100100000000010000000000000100000000100001000000001000000000000000100000000000000000000000001100000000000011100000000010000000010011000000001000000000000001000000010000100000000100000000000000010000000000000000000000000110000000000000010000000001000000001000100000001000000000000000100000001000001000000010000000000000001000000000000000000000000011000000000000001110000000100000000100010000000100000000000000001000000100000100000001000000000000000100000000000000000000000001100000000000000000000000010000000010001000000010000000000000000100000010000010000000010000000000000010000000000000000000000000110000000000000000000000000100000001000100000001000000000000000010000001000001000000001000000000000001000000000000000000000000011000000000000000000000000010000000100010000000100000000000000001000000100000010000000100000000000000100000000000000000000000001100000000001100000000000001000000010001000000010000000000000000100000010000001000000010000000000000010000000000000000000000000110000000000110000000000000100000001000110000001000000000000000010001001000000010000001000000000000001000000000000000000000000011000000000000000000000000010000000110001000000100000000000000001001100010000001001000100000000000000100000000000000000000000001100000000000000000000000001001000001000101001011000000000000000100000001000000010011011000000000000010000000000000000000000000110000000000000000000000000100111000100010111100100000000000000010000000100000001000000100000000000001000000000000000000000000011000000000000000000000000010000000010001000000010000000000000001000000010000000100000001000000000000100000000000000000000000001100000000000000000000000001000000001000100000001000000000000000100000001000000010000000100000000000010000000000000000000000000110000000000000000000000000100000000100010000000100000000000000010000000100000001000000001000000000001000000000000000000000000011000000000000000000000000010000000010001000000010000000000000001000000010000000100000000100000000000100000000000000000000000001100000000000000000000000000100000001000100000001000000000000000010000010000000010000000010000000000010000000000000000000000000110000000000000000000000000010000000100010000000100000000000000001000001000000000100000001000000000001000000000000000000000000011000000000000000000000000001000000010001000000010000000000000000100000100000000010000000100000000000100000000000000000000000001100000000000000000000000000100000010000100000001000000000000000010000010000000000100000010000000000010000000000000000000000000110000000000000000000000000010000001000001000000100000000000000001000001000000000010000001000000000001000000000000000000000000011000000000000110000000000001000000100000100000010000000000000000010000100000000000100000010000000000100000000000000000000000001100000000000011000000000000010000010000010000001000000000000000001000010000000000001000001000000000010000000000000000000000000110000000000000000000000000001000001000001000000100000000000000000100001000000000000100000100000000001000000000000000000000000011000000000000000000000000000100000100000100000110000000000000000010000100000000000001000010000000000100000000000000000000000001100000000000000000000000000010000010000011000010000000000000000000100010000000000000100001000000000010000000000000000000000000110000000000000000000000000001000001000000100001000000000000000000010001000000000000001000100000000001000000000000000000000000011000000000000000000000000000010000100000010000100000000000000000001000100000000000000100010000000000100000000000000000000000001100000000000000000000000000001000010000001000010000000000000000000100010000000000000010001000000000010000000000000000000000000110000000000000000000000000000100001000000100010000000000000000000010001000000000000000100010000000001000000000000000000000000011000000000000000000000000000010000100000010001000000000000000000010000010000000000000010001000000000100000000000000000000000001100000000000000000000000000001000010000001000100000000000000000010000001000000000000000100010000000010000000000000000000000000110000000000000000000000000000100001000000100010000000000000000010000011000000000000000010001000000001000000000000000000000000011000000000000000000000000000100000010000010001000000000000000010000010000000000000000010000010000000100000000000000000000000001100000000000000000000000000100000001000011000100000000000000001000010000000000000000001000000100000010000000000000000000000000110000000000000000000000000100000000100001000001000000000000001001001000000000000000000011000001000001000000000000000000000000011000000000000000000000001100000011100000100000100000000000000101001000000000000000000000010000010000100000000000000000000000001100000000000000000000001000000010000000010000001000000000000001001000000000000000000000001000001000010000000000000000000000000110000000000000000000001000000010000000000100000100000000000000011000000000000000000000000010011100001000000000000000000000000011000000000000001100000100000010000000000001000001000000000000000000000000000000000000000000100010000100000000000000000000000001100000000000000110000010100001000000000000100000100000000000000000000000000000000000000000001110000010000000000000000000000000110000000000000000000000100011000000000000010000010000000000000000000000000000000000000000000000000001000000000000000000000000011000000000000000000000001110000000000000000100101000000000000000000000000000000000000000000000000000100000000000000000000000001100000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000001111100000000000000000000000110000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010111000000000000000000000000000000000000000000000000000000000000110010100100010001000100000000000000000000000000000000000000001110000000000000000000000000000000000000000010100100010001000100011000100101010101010101000000000000000000000000000000000000000101000000000000000000000000000000000000000000100101010101010101001100101001000100010001000000000000000000000000000000000000000001000000000000000000000000000000000000000000101001000100010001000110000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011101000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001010000000000011100011101111100010100011100000100010000010000010000010000010000010000010000010000010000000000000000000011000000111000000000001000010000100000011010001000010110001000001000001000001000001000001000001000001000001000000000000000000001100000010100000000011111000100010111011101011111011101011111011111011111011111011111011111011111011111011111000000000000000000110000001000001111100010000010001000000010100010000010001000101000101000101000101000101000101000101000101000100000000000000000011000000111110011100111110111010100000111110111110111000111110111110111110111110111110111110111110111110111110000000000000000001100000010001000100000100000001010000000100000100010100000100000100000100000100000100000100000100000100000100000000000000000000110000001011100100001110001111101111100011101110001110000010000010000010000010000010000010000010000010000010000000000000000000011000000000000111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000101110000000001110101110000000001110111010001000111110000000000000001110000000100000100000100000100000100000100000000001100000011101000000000100011101000000000100000111000100011000000000000000000000100000101000101000101000101000101000100000000000110000001111100000001111101111100000001111100010101111101110100000000000000011111000010100010100010100010100010100010000000000011000000110010001110001000110010001010001000010000100010111010000000000000000100100010001010001010001010001010001010000000000001100000010001001000011111010001001101011111010000011111010111000000000000000111110001000101000101000101000101000101000000000000110000000000100010000010000000101110100010001000000010001010100000000000000010100001000001000001000001000001000001000000000000011000000111110001000111000111110001010111000100000001000101010000000000000001010000100000100000100000100000100000100000000000001100000000000011101000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000111110000000000000001110000000000000000000000000000000000000000000011100000100000100000100000100011100000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000010000010000010001001000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000101000111110111110111110111110101010000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000010000010001010001010001010001001101000000000001100000011111000000000111011111000000000111010111000100000111000000000000000000001011101111101111101111101111100010100000000000110000000101000000000010000101000000000010001100000010000110100000000000000000000100000001000001000001000001000001000000000000011000000100010000000111110100010000000111110111000111110101110000000000000000000011100000100000100000100000100011100000000000001100000010001000111000100010001000101000100010100010001010001000000000000000000000000000000000000000000000000000000000000000000110000001111100100001111101111100110101111101111101111101010100000000000000000000000000000000000100000000000000000000000000000011000000001000001000001000001000111010001000101000001000001010000000000000000000000000000000000111000000000000000000000000000001100000011111000100011100011111000101011100011111000100000101000000000000000000000000000000000101010000000000000000000000000000110000000000001110100000000000001111100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000011000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001100000000000011111000000000000000111000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000110000001111100000000011101111100000000011101111101111101111101111100000000000000000000000000000100000000000000000000000000000011000000001000000000001000001000000000001000100000100000100000010100000000000000000000000000000010000000000000000000000000000001100000000100000000011111000100000000011111011111010000010000011111000000000000000000000000000101010000000000000000000000000000110000000010000011100010000010000010100010001000001000001000000010000000000000000000000000000001110000000000000000000000000000011000000101000010000111110101000011010111110101110100000100000101010000000000000000000000000000010000000000000000000000000000001100000010000000100000100010000011101000100010000010000010000010101000000000000000000000000000000000000000000000000000000000000110000001000000010001110001000000010101110001000001000001000001010100000000000000000000011100000100011100000000000000000000000011000000000000111010000000000000111110000000000000000000000000000000000000000000000000000010000010001001000000000000000000000001100000000000000001000000000000000100000000000000000000000000000000000000000000000000000101000111110101010000000000000000000000110000000000001111100000000000000011100000000000000000000000000000000000000000000000000010000010001001101000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101111100010100000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000001000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000100011100000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000011100000000001000000000010000000000100000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000001000000011011000000110110000001101100000000000001100000000000000000000000000000000000000000000000000000000000000000000000000111110000010000010000100000100001000001000000000000110000000000000000000000000000000000000000000000000000000000000000000000000001001000001000001000010000010000100000100000000000011000000000000000000000000000000000000000000000000000000000000000000000000001111100001000000010010000000100100000001000000000001100000000000000000000000000000000000000000000000000000000000000000000000000101000000100000001001000000010010000000100000000000110000000000000000000000000000000000000000000000000000000000000000000000000010100000100000000011000000000110000000001000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001111100001000000001000000000011100000000000000001110000000000000000011100000000000000000000000000000000000000000000000000001100100000001110000000100000000010101000000000000001010100000000000000010101000000000000000000000000000000000000000000000000000110010000001010100000011100000001010100000000000000101010000000000000001010100000000000000000000000000000000000000000000000000011001000000001000000000010000001001001000000000000100100100000000000001001001000000000000000000000000000000000000000000000000001100100000000100000000111000000100100100000000000010010010000000000000100100100000000000000000000000000000000000000000000000000110010000000010000000000000000100010010000000000010001000100000000000100010001000000000000000000000000000000000000000000000000011001000000001000000000000000010001000100000000010000100001000000000010001000100000000000000000000000000000000000000000000000001100000000000100000000000000010000100001000000001000010000100000000010000100010000000000000000000000000000000000000000000000000110000000000010000000000000001000010000100000001000001000010000000001000010001000000000000000000000000000000000000000000000000011000000000001000000000000001000001000001000000100000100001000000001000001000010000000000000000000000000000000000000000000000001100000000000100000000000000100000100000010000100000010000010000000100000100001000000000000000000000000000000000000000000000000110000000000010000000000000100000010000001000100000001000000100000100000010000010000000000000000000000000000000000000000000000011000000000001000000000000100000001000000010010000000100000010000100000001000001000000000000000000000000000111000000000000000001100000000000100000000000010000000100000001010000000010000000100010000000100000010000000000000000000000000001000000000000000000110000000000010000000000010000000010000000010000000001000000001010000000010000001000000000000000000000000001110000000000000000011000000000001000000000010000000001000000010100000000100000000101000000001000000010000000000000000000000001000000000000000000001100000000000100000000001000000000100000001010000000010000000001000000000100000000100000000000000000000001000100000000000000000110000100000010000000001000000000010000001000100000001000000001010000000010000000010000000000000000000000100010000000000000000011000010000001000000001000000000001000000100010000000100000000101000000001000000000100000000000000000000011111000000000000000001100111110000100000000100000000000100000100000100000010000000100010000000100000000010000000000000000000000000000000000000000000110010001000010000000100000000000010000100000001000001000000100000100000010000000000100000000000000000000000000000000000000000011001111100001000000100000000000001000010000000100000100000010000010000001000000000001000000000000000010000000000000000000000001100001000000100000110000000000000100010000000001000010000010000000100000100000000000010000000000000000100000000000000000000000110000100000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000011000000000000000000000000000000001000000000000000000100000000000000000001000000000000000000000000000001000000000000000000000001100000000000000000000000000000001000000000000000000010000000000000000000100000000000000000000000000001000000000000000000000000110000000000000000000000000000011000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000100000000001100000000000011100000001011101000000000001110101111101110100000000111010000010111010000000000000000000000001000010010000000000110000000000000010000100101110100000000000111010100000111010000000011101001011011101000000000000000000000000100010101000000000011000000000000101000101010101010000000000010101011111010101000000001010101110101010100000000001111100010000010001010100000100001100000000000010000010101010000000000000001000001000001000000000000100000001000100000000000000100000001000001000111110010010000110000000000001011101111101110000000000000111000101110111000000000011100011100011100000000000010000011111000100000100000001000011000000000000100000001000001000000000000000100010000000100000000000010001010000010000000000001000001000101110001110000100000001100000000000011100011100011111000000000001111101000001111100000000111110111000111110000000000100000111110000000000000101010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000010101000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000001111100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001011100000000000000000000000000000000000000000000000000000000000011001010010001000100101000000000000000000000000000000000000000111000000000000000000000000000000000000000001010010001000100101001100010010101010101001000000000000000000000000000000000000000010100000000000000000000000000000000000000000010010101010101001000110010100100010001001010000000000000000000000000000000000000000100000000000000000000000000000000000000000010100100010001001010011000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000110000000000001010101000101000101000000000000000000000000000001110100000000000000000000000000000000000000000000000000000000000011000000000001111011100011100011100000000000000000000000000000000000000000001010000000101010000010100000000000000000000000000001100000000001110101010101010101010100000000000000000000000000000000000000000111000000011111000001110000000000000000000000000000110000000000010000000010000010000010000000000000000000000000000000000000000010101000001010101110101010000000000000000000000000011000000000000001011101011101011101000000000000000000000000000000000000000000000101110011000111000001000000000000000000000000001100000000000000100010100010100010100000000000000000000000000000000000000000111010111011101000001110100000000000000000000000000110000000000000010111110111110111110000000000000000000000000000000000000000000101000000010100000001010000000000000000000000000011000000000000000000000000000000000000001110000000000000000000000000000000001111100000111010000011111000000000000000000000000001100000000000000000001100000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000110000000000000000000101000000000000000000000000000000000000000000110000000000000000000000000000000000000011000000000000000000000000000000000000000110100000000000000000000000000000000000000000011000000000000000000000000000000000000001100000000000000000000101000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000111100000000000011000001000000000000000000000000000000000000000000010100000000000000000000000000000000000011000000000000000000111010000000000001101111100000000000000000000000000000000000000000011110000000000000000001010100000000000001100000000000000000001000010000000000000000000000000000000000000000000000000000000001011101010000000000000010111110000000000000110010100000101000100000101110000010100000000000000000000000000000000000000000000001100100001100000000000011010101000000000000011001110000111100110000010011100011110000000000000000000000000000000000000000000001100000010011000000000011000110001000000000001100101010111010110000001000011011101000000000000000000000000000000000000010101001100000001000110000101011000111010110000000000110000001001000010000000000000100100000000000000000000000000000000000000001111101100000000100001100111101000000101001100000000011001110100000100000000000000000000010000000000000000000000000000000000000101010100000000000000010111010000001110100011000000001100001010000010000000000000000000001000000000000000000000000000000000000001100000000000000000000001000000000000000000110000000110011111000001000000000000000000000100000000000000000000000000000000000001110100000000000000000000000100000000000000001000000011000000000000000000111110000000000000000000000000000000000000000000000000001010000001010000000000000010000000000000000000000001100000000011000000010100000000000110000000000000000000000000000000000000011101000000101000000000000001000000000000000001010000110000000001100000001110000000000011000000000000000000000000000000000000000000000000011111000000000000000000000000000001111000011000000000110000000010000000000001100000000000000000000000000000000000000011000000000100000000000011000000000000000001110100001100000000011000000000100000000000110000000000000000000000000000000000000001100000000111000000000001100000000000000000010000000110000000001100000000010000000000011000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000011000000000110000000001110000000000000000000000000000000000000000000010100000101000001111100000000001010000000000000000000100001100000000011000000000000000000010101000000000000000000000000000000001110000111100000000000000000001111000000000000000000010000110000000000000000000000000000001111100000000000000000000000000000000101010111010000000000000000001110100000000000000000000000011000000001010100000000000000000101010000000000000000000000000000000000001001000000000000000000000010000000000000000000100000001100000000111110000000000000001001100000000000000000000000000000000001110100000100000000000000001000001000000000000000110000000110000000010101000000000000001101110100000000000000000000000000000000001010000010100001010100001100000101000010101000110000000011000000000110001000001010001100001010000000000000000000000000000000011111000001011000111110001100000010110001111100110000000001100000000111010110001111001100011101000000000000000000000000000000000000000000000110010101001100000000001100101010110000000000110000000000101001101110101100000000001100000000000000000000000000000000000000000001100110001100000000000011001100010000000000011000000001110100010010000100000000000110000000000000000000000000000000000000000000010111010100000000000000101110100000000000001100000000000000000000001000000000000000010100000000000000000000000000000000000000000000101000000000000000000001010000000000000110000000000000000000000100000000000000001110000000000000000000000000000000000000000001110100000000000000000011101000000000000011000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000110000000000000000001110100000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000011000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000010000000000000000000000000000000111000000000000000000000000000000000000000000001100000000000000000000000000000000000000000011101000000000000000000000000000000001110000000000000000000000000000000000000000000110000000000000000000000000000000000000000000010100000000000000000000000000000000010100000000000000000000000000000000000000000011000000000000000000000000000000000000000000111110000000000000000000000000000000011010000000000000000000000000000000000000000001100000000000001010000010100000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000110000000000000111000011110000000000000000011000000000000000000000000000000000000000100000000000000000000000000000000000000000011000000000000010101011101000000000000000011000000000000000000000000000000000000111110000000000000000000000000000000000000000001100000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000111010000010000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000011000000000000000101000001000000000000101010000000000000000000000000000000000000001100000000000000000000000000000000000000000001100000000000001111100000100000000000011111000000000000000000000000000000000000000110000000000000000000000000000000000000000000110000000000000000000000000000000000001010100000000000000000010100000000000000000011000000000000000000000000000000000000000000011000000000000000001000110000000000000011000100000000000000001110000000000000000000000000000000000000000000000000000000000000001100000000000000001100001100000000001011101011010100000000000101010000000000000000101000000000000000001010100000000000000000000110000000000000001100000011000000001100010100101110000000000000001000000000000000111100000000000000010111110000000000000000000011000000000000000100000000110000000100111010000101010000000001110101000000000010111010100000000000011010101000000000000000000001100000000000000000000000001000000000000000000000001000000000001010110000000011001000011000000000011000110001000000000000000000110001010000010100000000000000010100000000000001110100000000011111001010101011000000100110000101011000111010110000000000000000011000111000011110000000000000011110000000000000001010000000000000000001111101000000010001100111101000000101001100000000000000001100010101011101000000000000011101000000000000011111000000000000000000101010000000001000010111010000001110100011000000000000000110000000100100000000000000000100000000000000000000000000000000000000001100000000000000000001000000000000000000100000000000000011000111010000010000000000000000010000000000000000000000000000000000001110100000000000000000000100000000000000000000000000000001100000101000001000111110000000001000000000000000000000000000000000000001010000010111000000000010000000000000000000000000000000110001111100000100000101000000000100000000000000000000000000000000000011101000001000100000000001000000000000000000101010100000011000000000000000001111100000000000000000000000000000000000000000000000000000000101110000000000000000000000000000111101110000001100000000110000000010000000001100000000000000000000000000000000000000011000000001000000000011000000000000000000111010101010000110000000011000000011100000000110000000000000000000000000000000000000001100000001110000000001100000000000000000001000000001000011000000001100000001000000000011000000000000000000000000000000000000000000000000001000000000000000000000000000000000101110100001100000000110000000100000000001100000000000000000000000000000000000000001010000011100000000001010000000000000000000010001010000110000000000000000000000000000000000000000000000000000000000000000000001111000000000000000001111000000000000000000001011111000011000000010101000000000000000101010000000000000000000000000000000000001110100000000000000001110100000000000000000000000000000001100000001111100000000000000011111000000000000000000000000000000000000010000000000000000010010000000000000000001000000000000000110000000101010000000000000001010100000000000000000000000000000000000000001010000000000011000001000000000000000100000000000000011000000001100000000000000000011000000000000000000000000000000000000100000101100101010011000000101000010101000100000000000000001100000001110100000000000001011101000000000000000000000000000000000110000010011011111011000000010110001111100110000000000000000110000000001010100000000001100010100000000000000000000000000010101010000000000101010101000000000001100101010110000000000000000011000000011101011000010101100111010000000000000000000000000001111100000000000000011000000000000000011001100010000000000000000001100000000000000110011110100000000000000000000000000000000000101010000000000000011101000000000000000101110100000000000000000000110000000000000001011101000000000000000000000000010100011111001100000000000000000010100000000000000000001010000000000000000000011000000000000000000100000000000000000000000000001110001111101110100000000000000111010000000000000000011101000000000000000000001100000000000000000000010000000000000000000000000101010000000001010000000000000000000000000000000000000000000000000000000000000110000000000000000000001000000000000000000000000000001000000011101000000000000000000000000000000000000000000000000000000000000011000000000000000000000100000000000000000000000001110100000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000001010000000100000000000000000000000000000000000000000000000000000000000000000110000000000000000001100000000000000000000000000011111000000110000000000000000000000000000000000000000000000000000000000000000011000000000000000000110000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000011100000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000011000000000000000000111000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000001100000000000000000001010000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000110000000000000000001101000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000011000000000000000001111100000000000000000000000000000000001110100000000000000000000000000000000000000000000000000000000000000001100000000000000000000010000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000110000000000000000011111000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000101110000000000000000000000000000000000000000000000000000000000001100101001000100101001000000000000000000000000000000000000000011100000000000000000000000000000000000000000101001000100101001000110001001010101001001010000000000000000000000000000000000000001010000000000000000000000000000000000000000001001010101001001010011001010010001001010010000000000000000000000000000000000000000010000000000000000000000000000000000000000001010010001001010010001100000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000111010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001011111000101011111011111011100011111010100011111000001011111011100000000000000000000111110000000000000101110000000000110000101100000100111101000001000000010000000101110001000000101100000100111000000000000000000000101000000000000010001000000000011000111010101010111010101110100000101000101010101010101110111010101010001010000000000000000001111100000000000001011100000000001100000100011101001000010000010000010000011101000001010000000100011101001101000000000000000000010000000000000000010000000000000110001110001010100000101000001000001011101010101110101000001110001010101111100000000000000000011100000000000000011100000000000011000101000100000000010100000100000100000100000001010100000101000100000000010000000000000000001000000000000000000010000000000001100011100011111000001011111010000011100011111011111011111011100011111011111000000000000000000100000000000000000111000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000111000111110111000000000000000000000000000000000000000000000000000101000000000000000111110000000000110000000000000000000000000000100000001001110000000000000000000000000000000000000000000000000010100000000000000010100000000000011000000000000000000000000001010001010100010100000000000000000000000000000000000000000000000001111100000000000001110000000000001100000000000000000000000000100000111010011010000000000000000000000000000000000000000000000000010000000000000000010000000000000110000000000000000000000000010111010101011111000000000000000000000000000000000000000000000000011100000000000000000100000000000011000000000000000000000000001000001000000000100000000000000000000000000000000000000000000000001000000000000000000010000000000001100000000000000000000000000111000111110111110000000000000000000000000000000000000000000000000111110000000000000001110000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000001000000000000100000000000000110000000000000000000000000000000011111100000000000000000000000000000000000000000000000000000000000100000000000010000000000000011000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000001000000000010000000000000001100001110000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000011000000110000000000000000110000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100000000000000000011000010111000000000000000000011111111111111111111100000000000000000000000001111100000000000000000000000011000000000000000000001100001100000000000000000011111000000000000000000011111000000000000000000000001000000000000000000000000110011000000000000000000110000111010000000000001110000000000000000000000000000011110000000000000000000100000000000000000000001100000011000000000000000011000010101000000000000100000000000000000000000000000000001110000000000000000010000000000000000000001000000000010000000000000001100001110100000000000100000000000000000000000000000000000001110000000000000001000000000000000000001000000000000100000000000000110000000000000000000100000000000000000000000000000000000000001110000000000000100000000000000000000100000000000010000000000000011000000000000000000100000000000000000000000000000000000000000001110000000000010000000000000000000001000000000010000000000000001100000000000000000110000000000000011111111100000000000000000000001100000000001000000000000000000000011000000110000000000000000110000000000000000010000000000000111000000011100000000000000000000010000000000100000000000000000000000011001100000000000000000011000000000000000010000000000000110000000000011000000000000000000001000000000010000000000000000000000000011000000000000000000001100000000000000010000000000000110000000000000110000000000000000000100000000001000000000000000000000000110011000000000000000000110000000000000010000000000000110001000000100001100000000000000000001000000000100000000000000000000001100000011000000000000000011000000000000001000000000000110000100000010000011000000000000000000100000000010000000000000000000011000000000011000000000000001100000000000000100000000000010000001000010000000100000000000000000001000000001000000000000000000110000000000000011000000000000110000000000000001000000000011000000100001000000011000000000000000000100000000100000000000000000100000000000000000010000000000011000000000000000100000000001000000001001000000000100000000000000000001100000010000000000000000010000000000000000000100000000001100000000000000010000000000100000000011000000000010000000000000000000001000001000000000000000000100100000000000000010000000000110000000000000000100000000010000000110011000000001000000000000000000000010000100000000000000000001100000000000000010000000000011000000000000000010000000001000000100000010000000100000000000000000000001000010000000000000000011001100000000100110000000000001100000000000000001000000000100000010000001000000010000000000000000000000100001000000000000000010000001000000001100000000000000110000000000000000100000000010000001000000100000001000000000000000000000001000100000000000000010000000010000011001100000000000011000000000000000001000000001000000011001100000000100000000000000000000000100010000000000000001000000001000010000001000000000001100000000000000000100000000100000000011000000000010000000000000000000000010001000000000000000010000001000010000000010000000000110000000000000000001000000010000000010010000000001000000000000000000000001000100000000000000000110011000001000000001000000000011000000000000000000100000001100000010000100000001100000000000000000000000100010000000000000000000110000000010000001000000000001100000000000000000100000000010000001000010000000100000000000000000000000100001000000000000000001100110000000110011000000000000110000000000000000100000000001100001000000100000110000000000000000000000010000100000000000000001000000100000000110000000000000011000000000000000100000000000011000100000010000110000000000000000000000010000010000000000000001000000001000001100110000000000001100000000000000010000000000000110000000000000110000000000000000000000011000001000000000000000100000000100001000000100000000000110000000000000010000000000000001100000000000110000000000000000000000011000000100000000000000001000000100001000000001000000000011000000000000001000000000000000011100000001110000000000000000000000001000000010000000000000000011001100000100000000100000000001100000000000001000000000000000000011111111100000000000000000000000001000000001000000000000000000011000000001100000100000000000110000000000000100000000000000000000000000000000000000000000000000011000000000100000000000000000110011000000001001100000000000011000000000000010000000000000000000000000000000000011110000000000011000000000010000000000000000000000000000000011000000000000001100000000000001100000000000000000000000000000000010000100000000111000000000001000000000000000000000000000000000000000000000000110000000000000011100000000000000000000000000001110000001000001110000000000000100000000000000000000000000000000000000000000000011000000000000000011100000000000000000000000001000000000011111100000000000000010000000000000000000000000000000000000000000000001100000000000000000011100000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000110000000000000000000011110000000000000000011000000000000000000000000000000000100000000000000000000000000000000000000000000000011000000000000000000000000111110000000000111000000000000000000000000000000000010000000000000000000000000000000000000000000000001100000000000000000000000000001111111111100000000000000000000000000000000000111110000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000110000000000000000000000000000000000000000000000000011101110100000000000000000000000000001100000000000000000000000000000000000011000000000000000000000000000000000000000000000000001000111010000000000000000000000000000110000000000000000000000000000000000111111000000000000000000000000000000000000000000000000100010101000000000000000000000000000011000000000000000000000000000000000001111000000000000000000000000000000000001111100010000010001000000000100000000000000000000001100000000000000000000000000000000000011000000000000000000000000000000000000100000001000001000111000010010000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000010000011111000100000100000001000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000001000001000101110001111100100000000000000000000000001100000000000000000000000010101111101110001111101110000000000000000000000000100000111110000000000000101010000000000000000000000110000000000000000000000011110100000001000000010011100000000000000000000000010000000100000000000000010101000000000000000000000011000000000000000000000011101010111010100010101000101000000000000000000000001000000010000000000000001111100000000000000000000001100000000000000000000000100001000001000001110100110100000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000010100000101110101010111110000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000001010000010000010000000001000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000101111101110001111101111100000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000011111011111000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000100000111110000000000000000000000000000000000001100000000000000000000000000000011100000000000111011100000000000111011111000100010000010000000000000000000000000000000000000000110000000000000000000000000000001001000000000010001001000000000010001000000010001000001011100000000000000000000000000000000000011000000000000000000000000000000101110000000111110101110000000111110100000111110100000100000000000000000000000000000000000000001100000000000000000000000000000011000000111000100011000000101000100010000010001010000010000000000000000000000000000000000000000110000000000000000000000000000001110100100001111101110100110101111101000001111100000000000000000000000000000000000000000000000011000000000000000000000000000000101010001000001000101010111010001000100000001000000000000000000000000000000000000000000000000001100000000000000000000000000000011101000100011100011101000101011100010000000100000000000000000000000000000000000000000000000000110000000000000000000000000000000000001110100000000000001111100000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000011111000000000000000111000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000110010100100010010101010000000000000000000000000000000000000000111000000000000000000000000000000000000101001000100101010100000111000100101010100100010000000000000000000000000000000000000000001000000000000000000000000000000000000001001010101001000100000111100101001000100101010100000000000000000000000000000000000000001000000000000000000000000000000000000001010010001001010101000001110000000000000000000000000000000000000000000000000000000000001110100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000100000000000111000000000000000000000000000111111000000000000000000000000000010000000000000000000000000000000000000000000000000010000000000111111111110000000000000000000111111111100000000000000000000000001000000000000000000000000000000000000000000000000000100000000011111111111111000000000000000111111111110000000000000000000000000100000000000000000000000000000000000000000000000000000000000001111111111111110000000000000111111111111100000000000000000000000010000000000000000000000000000000000000000000000000000100000000111111111111111110000000000011111111111111000000000000000000000001000000000000000000000000000000000000000000000000000001000000011111111111111111110111000011111111111111100000000000000000000000100000000000000000000000000000000000000000000000000000100000001111111111111111111111100011111111111111111000000000000000000000010000000000000000000000000000000000000000000000000000001000000011111111111111111111111111111111111111111100000000000000000000001000000000000000000000000000000000000000000000000000000110000000111111111111111111111111111111111111111110000000000000000000000100000000000000000000000000000000000000000000000000000001000000011111111111111111111111111111111111111111000000000000000000000010000000000000000000000000000000000000000000000000000000010000000111111111111111111111111111111111111111100000000000000000000001000000000000000000000000000000000000000000000000000000001000000001111111111111111111111111111111111111110000000000000000000001100000000000000000000000000000000000000000000000000000000010000000111111111111111111111111111111111111111000000000000000000001010000000000000000000000000000000000000000000000000000000001011000011111111111111111111111111111111111111100000000000000000000101000000000000000000000000000000000000000000000000000000000011110001111111111111111111111111111111111111110000000000000000000100100000000000000000000000000000000000000000000000000000000000111100111111111111111111111111111111111111111000000000000000000010010000000000000000000000000000000000000000000000000000000000011111001111111111111111111111111111111111111101000000000000000010001000000000000000000000000000000000000000000000000000000000000111100011111111111111111111111111111111111101110000000000000010000100000000000000000000000000000000000000000000000000000000000011110000011111111111111111111111111111111100111000000000000011000010000000000000000000000000000000000000000000000000000000000000111000000111111111111111111111111111111110011110000000000001000001000000000000000000000000000000000000000000000000000000000000011100000011111111111111111111111111111110011111000000000001000000100000000000000000000000000000000000000000000000000000000000000100000000111111111111111111111111111110001111100000000001100000010000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111000000011111100000000000100000001000000000000000000000000000000000000000000000000000000000000000100000001111111111111111111111100000111111111000000000010000000100000000000000000000000000000000000000000000000000000000000000001000000111111111111111111111110001111111111111100000010000000010000000000000000000000000000000000000000000000000000000000000000100000011111111111111111111100000111111111111101000010000000001000000000000000000000000000000000000000000000000000000000000000001000001111111111111111111100001111111111111011000001000000000100000000000000000000000000000000000000000000000000000000000000000100000111111110000011111000000111111111111110100001000000000010000000000000000000000000000000000000000000000000000000000000000001000011110001111111111100011111111111111110011001100000000001000000000000000000000000000000000000000000000000000000000000000000100001111011111111111111011111111111111110000100100000000000100000000000000000000000000000000000000000000000000000000000000000001000011011111111111111111111111111111111100010100000000000010000000000000000000000000000000000000000000000000000000000000000000000000101111111111111111111111111111111111110010000000000001000000000000000000000000000000000000000000000000000000000000000000001000000111111111111111111100111111111111111011111111111111100000000000000000000000000000000000000000000000000000000000000000000010000011111111111111111100011111111111100100000000000000010000000000000000000000000000000000000000000000000000000000000000000000100001111111111111111111111111111111111010000000000000001000000000000000000000000000001111111111111111111111111111111111111111110000111110001111111111111111111111111000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000011111011111111111111111111111111100000000001100000010000000000000000000000000000001000000000000000000000000000000000000000000000110011111111111111111111111111110000000000111000001000000000000000000000000000000010000000000000000000000000000000000000000000000011111111111111111111111111111000000000000000001100000000000000000000000000000001000000000000000000000000000000000000000000000001111111111100111111111111111000000000000111111110000000000000000000000000000000010000000000000000000000000000000000000000000000111111111111111111111111111000000000000111111111000000000000000000000000000000001000000000000000000000000000000000000000000000011111111111111111111111111110000000000011111111100000000000000000000000000000000010000000000000000000000000000000000000000000111111111111111111111111111111000000000011111111110000000000000000000000000000000001000000000000000000000000000000000000000000111111111111111111111111111111000000000001111111111000000000000000000000000000000000010000000000000000001000000000000000000001111111111111111111111111111111100000000001111111100100000000000000000000000000000000000100000000000000001100000000000000000111111111111111111111111111111111100000000000111111100010000000000000000000000000000000000010000000000000001110000000000000000111111111111111111111111111111111110000000000011111100011000000000000000000000000000000000000100000000000000010000000000000000011111111111111110000000111111111111000000000001111111001100000000000000000000000000000000000010000000000000010111000000000000100111111111111111011100011111111111100000000000000111101110000000000000000000000000000000000000100000000000001010000000000000011000111111111111100110001111111111111000000000000000000111000000000000000000000000000000000000001000000000000101000000000000001000011111111111110001000111111111111110000000000000000011100000000000000000000000000000000000000100000000000000000000000000000000000111111111111001000011111111111111001100000000000111110000000000000000000000000000000000000001000000000000000000000000000000000001111111111101111101111111111111110100000000000001001000000000000000000000000000000000000000010000000000000000000000000000000000010111111110001000111111111111111110000000000000011100000000000000000000000000000000000000001000000000000000000000000000000000000011111111000111011111111111111110000000000000000110000000000000000000000000000000000000000010000000000000000000000000000000000011111111100000001111111111111111000000000000001111000000000000000000000000000000000000000001000000000000000000000000000001100111111111111111111111111111111111000000000000000011100000000000000000000000000000000000000000010000000000000000000000000000000111111111111111111111111111111111100100000000000000110000000000000000000000000000000000000000001000000000000000000000000100000011111111111111111111111111111111111111000000000000011000000000000000000000000000000000000000000010000000000000000000000110000011111111111111111111111111111111111111000000000000000100000000000000000000000000000000000000000001000000000000000000000110111111111111111111111111111111111111111111100000000000011010000000000000000000000000000000000000000000010000000000000000001111111111111111111111111111111111111111111111111100000000010001000000000000000000000000000000000000000000000100000000000000001001001111111111111111111111111111111111111111111111100000011000100000000000000000000000000000000000000000000010000000000000001001100001111111111111111111111111111111111111111111111110110000010000000000000000000000000000000000000000000000100000000000000101000000111111011111111111111111111111111111111111111111111100001000000000000000000000000000000000000000000000001000000000000100100000001111011111111111111111111111111111111111111111010110001100000000000000000000000000000000000000000000000100000000000010010100000000001111111111111111111111111111111111111111100101000110000000000000000000000000000000000000000000000010000000000001001001000000000000111111111111111111111111100001111101100000110111000000000000000000000000000000000000000000000000100000000001100000010000000000011111111111111111111111110000011110000000000011100000000000000000000000000000000000000000000000001000000000110000000100000000000111111111111111111111111000000010000000000001010000000001111111111111111111111111111111111111111100000000010000000010000000000011111111111111111111111100001110000000000000001000000000010000000000000000000000000000000000000111100000001000000000000000000000000000001111111111111100110110000000000001000100000000000110000000000000000000000000000000000111111000000110111100000001111100000000111010000000000000111100000000000100000010000000000000110000000000000000000000000000000011111110000011011111000101110000000000100001000000000100111000000000000100000001000000000000000110000000000000000000000000000011111111000000101111100011110000000011100000100000001111111010000000000000000000100000000000000000100000000000000000000000000111111111111100011000101001100000000010000000010111111111000000000000000000000000010000000000000000001100000000000000000000000011111111111111001100110100000000000010000000001011110010000000000000000000000000001000000000000000000001100000000000000000000000111111111111110010010110000000000110000000000101010010000000000000000000000000000100000000000000000000001100000000000000000000011111111111111000000110000000000100000000000010000000000000000000000000000000000010000000000000000000000001100000000000000000000011111111111111010011000000011100000000000001000000000000000000000000000000000001000000000000000000000000011000000000000000000000111111111111100001100000110000000000000000100000000000000000000000000000000000100000000000000000000000000011000000000000000000001111111111110011110000100000000000000000010000000000000000000000000000000000110000000000000000000000000000011000000000000000000111111111111000001000100000000000000000001000000000000000000000000000000000101000000000000000000000000000000010000000000000000011111111111000110100010000000000000000000100000000000000000000000000000000010100000000000000000000000000000000110000000000000011111111111000010010001000000000000000000010000000000000000000000000000000010010000000000000000000000000000000000100000000000001111111111100000001000100000000000000000001000000000000000000000000000000001001000000000000000000000000000000000001100000000001111111111110010100000010000000000000000000100000000000000000000000000000001000100000000000000000000000000000000000001100000000111111111111110001000001000000000000000000010000000000000000000000000000000100010000000000000000000000000000000000000001000000111111111111110001110100100000000000000000001000000000000000000000000000000100001000000000000000000000000000000000000001100000011111111111100000111000010000000000000000000100000000000000000000000000000010000100000000000000000000000000000000000000100000011111111111110000010101001000000000000000000010000000000000000000000000000010000010000000000000000000000000000000000000100000011111111111110000000001100100000000000000000001000000000000000000000000000010000001000000000000000000000000000000000000010000001001111111110000000010100010000000000000000000100000000000000000000000000001000000100000000000000000000000000000000000010000000000000111111000000000010001000000000000000000010000000000000000000000000001000000010000000000000000000000000000000000001000000000000000000000000000000000100000000000000000001100000000000000000000000000100000001000000000000000000000000000000000001000000000000000000000000000001000010000000000000000000001100000000000000000000000100000000100000000000000000000000000000000001000000000000000000000000000000100001000000000000000000000001100000000000000000000100000000010000000000000000000000000000000000100000000000000000000000000000100000100000000000000000000000001100000000000000000010000000001000000000000000000000000000000000100000000000000000000000000000000000010000000000000000000000000001000000000000000010000000000100000000000000000000000000000000010000000000000000000000001000000000001000000000000000000000000000011000000000000001000000000010000000000000000000000000000000010000000001100000000000001000100000000100000000000000000000000000000010000000000001000000000001000000000000000000000000000000010000000000111000000000000000100000000010000000000000000000000000000000110000000000100000000000100000000000000000000000000000001000000000001110000000000000000000000001000000000000000000000000000000000110000000100000000000010000000000000000000000000000001000000000000001111100000000000000000000100000000000000000000000000000000000100000010000000000001000000000000000000000000000001000000000000000011000000000000000000000010000000000000000000000000000000000001110010000000000000100000000000000000000000000000111111111111111111111111111111111111111111000000000000000000000000000000000000000110000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000011100001010010010100100010000000000000000000000000000000000000011100000000000000000000000000000000000000001010010010100100010001111000010010100100101010100000000000000000000000000000000000000100000000000000000000000000000000000000000010010100100101010100111000010100100101001000100000000000000000000000000000000000000100000000000000000000000000000000000000000010100100101001000100011000000000000000000000000000000000000000000000000000000000000111010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100000000000000000000000000000000000000001100000000000000000000000000000000000000011000000000000000000000000000000000000010000100000000000000000000000000000000000000001001000000000000000000000000000000000000010000000000000000000000000000000000000001000100000000000000000000000000000000000000000100100000000000000000000000000000000000000001000000000000000000000000000000000000100010000000000000000000000000000000000000000100001000000000000000000000000000000000001000000000000000000000000000000000000000010010000000000000000000000000000000000000000010000100000000000000000000000000000000001000001000000000000000000000000000000000001001000000000000000000000000000000000000000010000001000000000000000000000000000000000000000010000000000000000000000000000000000101000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000001000000001000000000000000000000000000000010000000010000000000000000000000000000000001100000000000000000000000000000000000000001000000000100000000000000000000000000000010000000000000000000000000000000000000000000110000000000000000000000000000000000000000100000000001000000000000000000000000000001000000000010000000000000000000000000000000010000000000000000000000000000000000000000100000000000010000000000000000000000000001000000000000100000000000000000000000000000001000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000010000000000000010000000000000000000000000100000000000000100000000000000000000000000000010000000000000000000000000000000000000010000000000000001000000000000000000000000100000000000000010000000000000000000000000000001000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000001000000000000000001000000000000000000000010000000000000000000000000000000000000000000000010000000000000000000000000000000000001000000000000000000010000000000000000000010000000000000000000100000000000000000000000000001000000000000000000000000000000000000100000000000000000000100000000000000000001000000000000000000001000000000000000000000000000100000000000000000000000000000000000100000000000000000000010000000000000000001000000000000000000000100000000000000000000000000010000000000000000000000000000000000010000000000011100000000100000000000000000100000000000000000000001000000000000000000000000001000000000000000000000000000000000001000000000001111000000010000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000001000000000001111110000000100000000000000010000000000000000000000001000000000000000000000000010000000000000000000000000000000001000000000001111111110000001000000000000010000000000000000000000000010000000000000000000000001000000000000000000000000000000001000000000000111111111110000100000000000000000000000000000000000000001000000000000000000000000100000000000000000000000000000000100000000000111111111111100001000000000001000000000000000000000000000010000000000000000000000010000000000000000000000000000000100000000000011111111111111000100000000001000000000000000000000000000001000000000000000000000001000000000000000000000000000000010000000000011111111111111100001000000000100000000000000000000000000000010000000000000000000000100000000000000000000000000000010000000001111110000000111111000100000000100000000000000000000000000000000000000000000000000000010000000000000000000000000000011000000000111111011100011111100001000000110000000000000000000000000000000010000000000000000000001000000000000000000000000000001000000000111111100110001111111100110000010000000000000000000000000000000001100000000000000000000100000000000000000000000000001000000000111111110001000111111111001000010000000000000000000000000000000000010000000000000000000010000000000000000000000000000100000001111111111001000011111111110010001000000000000000000000000000000000000100000000000000000001111111111111111111111111111100011001111111111101111101111111111101101000000000000000000000000000000000000011000000000000000000100000000000000000000000000000000000111111111110001000111111111111010000000000000000000000000000000000000000100000000000000000010000000000000000000000000000000000011111111111000111011111111111110100000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000001111111111100000001111111111111010000000000000000000000000000000000000000100000000000000000100000000000000000000000000000100000111111111111111111111111111111110000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000001111111111111111111111111111111111100000000000000000000000000000000000000001000000000000000001110000001111100000000000000000000111111111111111111111111111111111110000000000000000000000000000000000000000100000000000000000111000010111111000000000000011100001111111111111111111111111111111111000000000000000000000000000000000000000010000000000000000011100001111111111000000000000111000111111111111111111111111111111111110000100000000000000000000000000000000001000000000000000001100000111111111110000000000001100001111111111111111000000000111111111100010000000000000000000000000000000000100000000000000000110000111111111111111111100000000000111111111111111000000000000001111111000000000000000000000000000000000000010000000000000000010000011111111111111111111111000000010001111111111000000000000000011111110000000000000000000111111000000000001000000000000000001000001111111111111111111110110000001000001111110000000000000000000001111000000010000000000111111111000000000100000000000000000100001111111111111111111100001000001100000000000000000000000000000000000000000001000000000011111111110000000010000000000000000010000111111111111111111110000000000110000000000000000000000000000000000000000000110000000011111111111100000001000000000000000001000011111111111111111111000000000100000000000000000000000000000000000000000000001110000011111111111111000000100000000000000000100001111111111111111111110000000010000000000000000000000000000000000000000000000111100001111111111111110000010000000000000000010000111111111111111111111000001001000000000000000000000000000000000000000000000001111001111111111111111000001000000000000000001000011111111111111111111100000101000000001000000000000000000000000000000000000000111100111111111111111110000100000000000000000111011111111111111111111110000011000000000000000000000000000000000000000000000000001111111111111111111111000010000000000000000011111111111111111111111111110111100000000000000000000000000000000000000000000000000111111111111111111111110001000000000000000001111111111111111111111111111111110000000000000000000000000000000000000000000000000011111111111111111111111100100000000000000000101111111111111111111111111111110000000000000000000000000000000000000000000000000011111111111111111111111110010000000000000000011111111111111111111111111111111000000000000000000000000000000000000000000000000001111111111111111111111111001000000000000000001111111111111111111111111111111000000000000000000000000000000000000000000000000000001111111111111111111111100100000000000000000111111111111111111111111111111100000000000000000000010000000000000000000000000000000111111111111111111111110010000000000000000011111111111111111111111111110000000000000000000000011000000000000000000000000000000011111110011111111111110001000000000000000001111111111111111111111111111000000000000000000000011100000000000000000000000000000001111111001111111111111000100000000000000000111111111111111111111111111000000000000000000000000100000000000000000000000000000000001111100111111111111100010000000000000000011111111111111111111111111000000000000000000000000101110000000000000000000000000000000011100011111111111100001000000000000000001111111111111111111111100000000000000000000000000010100000000000000000000000000000000000000001111111111100000100000000000000000111111111111111111111000000000000000000000000000001010000000000000000000000000000000000000000011111111110000010000000000000000011111111111001111111000000000000000000000000000000000000000000000000000000000000000000000000001111111110000001000000000000000001110000111000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000100000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111000000000000000001000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100001010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000010010000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001010000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000101000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000011000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001100000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000001000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000001100101001001010010010100000000000000000000000000000000000000011100000000000000000000000000000000000000000101001001010010010100110001001010010010100100000000000000000000000000000000000000001011100000000000000000000000000000000000000001001010010010100100011001010010010100100101000000000000000000000000000000000000000110000000000000000000000000000000000000000001010010010100100101001100000000000000000000000000000000000000000000000000000000000010111000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000111010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000011110000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001110000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000011000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000111000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000011110000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000111100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000001110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000110000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000100010111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000100001000100001110000011101110100010001111100010001110001011100010000010000010000010001110000000000011000000000000000000000001100000100010000000100001000111010001000100000001000001000100000001000001000001000001000100100000000001100000000000000000000111000000010001000011111011111010101011111010000011111010100011100011111011111011111011111010101000000000110000000000000000111100000000001000100000100100010001000001000101000001000101000001000001000101000101000101000100110100000000011000000000000111100000000000000100010000111110111110111000111110100000111110101110111000111110111110111110111110001010000000001100000000011100000000000000000010001000010100000100000100000100010000000100010000010000000100000100000100000100000100000000000110000000110000000000000000000001000100001010001110001111100010001000000010001110001110000010000010000010000010001110000000000011000000100000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000100000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010000000000000000000000001000100000011100011100010000011100010001110100000101011101111100000100000100000000000000000000011000000100000000000000000000000100010000000100001000001000000010001000111010010010110000100000010110010010000000000000000000001100000001100000000000000000000010001000000111011111011111000001011111010101010101011100011111011101000001000000000000000000000110000000001110000000000000000001000100000100000010001000100100001000101000001010101010001000000010000100000000000000000000000011000000000000111100000000000000100010000100010111110111110100000111110111000111110111110101110111000101010000000000000000000001100000000000000001111000000000010001000010001000100000100010000000100000100000100010100010000010100010101000000000000000000000110000000000000000000011100000001000100001111101110000010001110000010001111101110001111101000001110001111100000000000000000000011000000000000000000000001100000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000001000010111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000001110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001111000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001111000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000111000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001000000000000000000000000100111110111000111010111110111110111000111010111110111000111110000000000000000000000000000000001100000100000000000000000000000010010000000100000111000001010000000100000111000001000100010000000000000000000000000000000000000110000001000000000000000000000001001000001010000010101010101000001010000010101010101010001111100000000000000000000000000000000011000000011000000000000000000000100100000100000010000111010100000100000010000111010100000100000000000000000000000000000000000001100000000011100000000000000000010010000010111010000010101010000010111010000010101010111010111000000000000000000000000000000000110000000000001111000000000000001001000001000001000001000001000001000001000001000001000001000000000000000000000000000000000000011000000000000000011110000000000100100000111000100000111110100000111000100000111110111000100000000000000000000000000000000000001100000000000000000000111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000010000100101110111110001000001000001000101000000000000000000000000000000000000000000000000000000000001100000000000000000000000000100010010000010000000100000100000100011100000000000000000000000000000000000000000000000000000000000110000000000000000000000000010001001110001111101111101111101111101010000000000000000000000000000000000000000000000000000000000011000000000000000000000000010000100100000100000100010100010100010100000000000000000000000000000000000000000000000000000000000001100000000000000000000000110000010011100010111011111011111011111011111000000000000000000000000000000000000000000000000000000000110000000000000000000011100000001001000001000000010000010000010001000100000000000000000000000000000000000000000000000000000000011000000000000000011110000000000100111000100000001000001000001000101110000000000000000000000000000000000000000000000000000000001100000000000011110000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001110000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000011000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000111000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000011110000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000111100000000001000000000000000000001000000011101111101110100010000010000010000010001110000000000000000000000011000000000000000000001110000000100000000000000000000110000001000100000111010001000001000001000001000110000000000000000000000001100000000000000000000000110000010000000000000000000011111011111010000010101011111011111011111011111010101000000000000000000000110000000000000000000000000100001000000000000000000001000100010001000001000001000101000101000101000101010100000000000000000000011000000000000000000000000001000100000000000000000000111010111110100000111000111110111110111110111110101110000000000000000000001100000000000000000000000000100010000000000000000000000100000100010000000100000100000100000100000100010100000000000000000000000110000000000000000000000000100001000000000000000000001110001110001000001111100010000010000010000010001110000000000000000000000011000000000000000000000001100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000111100000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000100100100000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000010000100000000000000010000100000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000100100000000000000000100100000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000001110000000000000111000000000000000000000000000000000000000110000000000000011100000000000000000000000000000000000000000000000000000110000000001100000000000000000000000000000000000000000011000000000000011111000000000000000000000000000000000000000000000000000000111111111000000000000000000000000000000000000000000001100000000000010010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000010000000100000000000000000000000000000000000000000001111111111111111111111111110000000000000000000000000000000000011000000000010000000001000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010000000000010000000000111000000000000000000000000000000000000000000000000000000000111010001000000010000000000000000110000000010000000000000100000000001000000000000000000000000000001000000000000000000000000010000111000100001001000000000000000011001000010000000000000001000010001000000000000000000000000000000100000000000000000000000001000010101111100000100000000000000001100010010000000000000000010010001110100000000000000000000000000011111111111111111111111111100010000100010010000000000000000000110000110000000000000000000110000100010000000000000000000000000001000000000000000000000000010010000011111010101000000000000000011000000111000000000000011100000011111000000000000000000000000000100000000000000000000000001001000000010001010100000000000000001100000000011000000000110000000000000000000000000000000000000000000000000000000000000000000000100000001000111110000000000000000110000000000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000110010100100101010100100000000000000000000000000000000000000001010100000000000000000000000000000000000000010100100101010100100011000100101001000100101000000000000000000000000000000000000000111110000000000000000000000000000000000000000100101001000100101001100101001001010101001000000000000000000000000000000000000000011101000000000000000000000000000000000000000101001001010101001000110000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000100010100000001110101110001110111110111110001110111110001110111110101110000000101110101110001110111110000000000001100000000000011001010111000100011000000100010000000001001010000001001011000001011101000000010000010110000100010000000000000000110000000000001111101000001111101110001010101111101010101111101010101110101010101011100000001010001111101010101111100000000000011000000000000110010111000001000101000100010100000111010100000111010011010111010010010111110111100010000100010100000000000000001100000000000011111000000011111011111010101010111010101010001010101011101010101010111010101010100010111010101010111000000000000110000000000001010100000000010001010000010001000001000001000101000001010001000001010101111101000001000000010001000000000000000011000000000000111010000000111000111110111000100000111110100010111110111110111110101010111010101110111000111000100000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000011101110001110100000000000000000000000001111100000000000110000000000000000000000000000000000000000000000000000000000000000000001000001000001110000000000000000000000000100000000000000011000000000000000000000000000000000000000000000000000000000000000000000100010100000101000000000000000000000000011111000000000001100000001011100000000011101110000011101110100000101111101111100010000010001000000100001111100011100011100000101000000000000000110000000111010000000001000001000000010001110010110000010100000001000001000101110100000000010011010001000010010101110000000000011000000010111000000011111010100000001000101011101010101010000011111000100010000010000010101010111010101000001010000000000000001100000000100101111100010001000000100000100000010001110101000001000101110001110001000001110101000101000100100001000000000000000110000000101110101010111110101110100000100000111000101010100000111110000000000000000000101010101010101010101010000000000000000011000000010101011111000100010000010000010000010100010000010000000100000000000000000000010000000101000100010101000000000000000001100000001010101110101110001110001110001000001110001111101000000010000000000000000000001111100010101110001111100000000000000000110000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000111011111011101000000000000000111011100000000000000000000110000000000000000000000000000000000000000000000000000000000000000000010001000001110100000000000000010000010000000000000000000011000000000000000000000000000000000000000000000000000000000000000000001000111110101010000000000000001000101000000000000000000001100000000000010111000111011111000111000100010111011111011111000100000100010000010000011111000111000100010000000000000000000000110000000000001011000010001000000000100010001100000000101000000010000010001011101110000000100110000010001011100000000000000000011000000000000111110111110100000000010111110111000101010100000111110001000100000001000101010001010001000100000000000000000000001100000000000001000000100010000001000010001010100011101010000010001011100010000011111011101001101011100011100000000000000000000110000000000001011101111101000001000001111101111101010101000001111100000000000000000001010101111100000000000000000000000000000011000000000000100000001000100000100000001000101000100000100000001000000000000000000000100000101010000000000000000000000000000001100000000000011100011100010000011100000100011111011111010000000100000000000000000000011111011111000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011111000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000001100000010001000111011111000111011111010111000100000100000100000100000100000100000100000100000100011111011111000100010000000000110000001100100010001000000010001000001000000010000010000010000010000010000010000010000010000010000000101100000010001000000000011000000111110111110100000101010100000111000111110111110111110111110111110111110111110111110111110101010111010001000100000000001100000011001000100010000010001010000010000010001010001010001010001010001010001010001010001010001011101011101011100010000000000110000001111101111101000001010101000001110001111101111101111101111101111101111101111101111101111101010101011100000000000000000011000000101010001000100000001000100000100000001000001000001000001000001000001000001000001000001000100000101010000000000000000001100000011101011100010000011100010000011100000100000100000100000100000100000100000100000100000100011111010101000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000011111000000000111011100000111011101011111000001011111000000000000000000000000000000000000011000000000000000000000000000000000000010000000000010000010000000100011101000000101100101000000000000000000000000000000000000001100000000000000000000000000000000000001000000000111110101000000010001010111110111010111110000000000000000000000000000000000000110000000000000000000000000000000000000100011111000100010000001000001000010000000100000100000000000000000000000000000000000000011000000000000000000000000000000000001010001010101111101011101000001000001011101110001010100000000000000000000000000000000000001100000000000000000000000000000000000100000111110001000100000100000100000100000101000101010000000000000000000000000000000000000110000000000000000000000000000000000010000011101011100011100011100010000010000011100010101000000000000000000000000000000000000011000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000011001010010010101010101000000000000000000000000000000000000000111110000000000000000000000000000000000000001010010010101010101001100010010100100010001000000000000000000000000000000000000000011111000000000000000000000000000000000000000010010100100010001000110010100100101010101010000000000000000000000000000000000000000010000000000000000000000000000000000000000010100100101010101010011000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101111100000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110010010000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000001000000000000000000000110000000000001010100011100000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000011000000000000111110011010000000000000000000000000000000000000000000000000000000000000000000000111110001110000000000000000000001100000000000011111010111000000000000000000000000000101010111110000000000000000000000000000000000100000100000000000000000000000110000000000000010001000100000000000000000000000000011111001110000000000000000000000000000000000010000010000000000000000000000011000000000000111110101010000000000000000000000000001111100010000000000000000000000000000000000000000000000000000000000000000001100000000000000100000101000000000000000000000000000001000010000000010000000000000000000000000000000000000000000000000000000000110000000000000010000010100000000000000000000000000011111011101001011000000000000000000000000001010101111100000000000000000000011000000000000000000000000000000000000000000000000000010001000101111100000000000000000000000000111110010010000000000000000000001100000000000000000000000000000000000000000000000000001000111110111010000000000000000000000000011111011101000000000000000000000110000000000001010100000100000000000000000000000000000000000000010101000000000000000000000000000010000100000000000000000000000011000000000000111110010010000000000000000000000000000000000000001010000000000000000000000000000111110111000000000000000000000001100000000000011111000001000000000000000000000000000000000000000101000000000000000000000000000000100000100000000000000000000000110000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000011100000000000000000000011000000000000111110101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000100010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000010001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000101010111010000000000000000000000000000000000110000000000001010100011100000000000000000000000000000000000000000000000000000000011111010011000000000000000000000000000000000011000000000000111110011000000000000000000000000000000000000000000000000000000000001111101000100000000010101011100000000000000001100000000000011111000101000000000000000000000000000000000000000000000000000000000001000110000000000001111100110000000000000000110000000000000010000110100000000000000000000000000000000000000000000000000000000011111010000000000000111110001000000000000000011000000000000111110111110000000000000000000000000000000000000000000000000000000000010001000000000000000100001000000001000000001100000000000000100010101000000000000000000000000000000000000000000000000000000000001000111000000000001111101111100101100000000110000000000000010001111100000000000000000000000000000000000000000000000000000000000000000000000000000001000001000111110000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000111011101000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000101010001110000000000000000000001010100000000110000000000000000000000000000001010101111100000000000000000000000000000000000000011111001010000000000000000000000101000000000011000000000000000000000000000000111110110000000000000000000000000000000000000000001111100011100000000000000000000010100000000001100000000000000000000000000000011111010000000000000000000000000000000000000000000001000001000000000000000000000000000000000000110000000000000000000000000000000010000100000000100000000000000000000000000000000011111000111000000000000000000000000000000000011000000000000000000000000000000111110101110010110000000000000000000000000000000000010000010000000000010101011111000000000000001100000000000000000000000000000000100010001011111000000000000000000000000000000000001000111000000000001111101110000000000000000110000000000000000000000000000000010001000101110100000000000000000000000000000000000000000000000000000111110101110000000000000011000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000100001000000001000000001100000000000000000000000000000000000000000010100000000000000000000000000000000000101010111000000000001111101110000101100000000110000000000000000000000000000000000000000001010000000000000000000000000000000000011111011010000000000001000101000111110000000011000000000000000000000000000000000000000000000000000000000000000000000000000000001111101110000000000000100010111011101000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000001000101000000000000000000000001010100000000110000000000000000000000000000001010101010000000000000000111000111000111000000000011111011101000000000000000000000101000000000011000000000000000000000000000000111110111000000000000000011100011100011100000000000010001010100000000000000000000010100000000001100000000000000000000000000000011111010111000000000000001110001110001110000000000001000101010000000000000000000000000000000000110000000000000000000000000000000010001100000000100000000111111111111111000000000000000000000000000000000000000000000000000000011000000000000000000000000000000111110101110010110000000011111111111111100000000000000000000000000000010101000100000000000000001100000000000000000000000000000000100000001011111000000001111111111111110000000000101010100000000000001111100110000000000000000110000000000000000000000000000000010001110101110100000000111111111111111000000000011111011000000000000111110111000000000000000011000000000000000000000000000000000000000000101010000000011111111111111100000000001111101111100000000000100001000000001000000001100000000000000000000000000000000000000000010100000000001111111111111110000000000001000100010000000001111101011100101100000000110000000000000000000000000000000000000000001010000000000000000111000000000000000011111011101000000000001000101000111110000000011000000000000000000000000000000000000000000000000000000000000011100000000000000000010000010000000000000100010100011101000000001100000000000000000000000000000000000000000000000000000000000001110000000000000000001000111000000000000000000000001010100000000110000000000000000000000000000001010101011100000000000000111111111111111000000000000000000000000000000000000000000101000000000011000000000000000000000000000000111110111000000000000000011111111111111100000000000000000000000000000000000000000010100000000001100000000000000000000000000000011111010100000000000000001111111111111110000000000000000000000000000000000000000000000000000000110000000000000000000000000000000010000100000000100000000000000111000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000111110100010010110000000000000011100000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000100010001011111000000000000001110000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000010001110101110100000000000000111000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000101010000000000000011100000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000010100000000000000001110000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000010101000001000000000000000000000000000000000000000000000000000000000000000000000000010101000111000000000000000000000011000000001111100101100000000000000000000000000000000000001010101111100000000000000000000000001111100100000000000000000000000001100000000111110111110000000000000000000000000000000000000111110101010000000000000000000000000111110001000000000000000000000000110000000000100011101000000000000000000000000000000000000011111011111000000000000000000000000000100000100000000000000000000000011000000001111101010100000000000000000000000000000000000000010001110100000000000000000000000001111101110100000000000000000000001100000000001000101000000000000000000000000000000000000000111110111110000000000000000000000000001000000010000000000000000000000110000000000100010100000000000000000000000000000000000000000100010101000000000000000000000000000100011111000000000000000000000011000000000000000000000000000000000000000000000000000000000010001111100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000010101011111000000000000000000000000000000000000000000000000000000000000000000000000010101000101000000000000000000000011000000001111100101000000000000000000000000000000000000000000000000000000000000000000000000001111100110100000000000000000000001100000000111110100010000000000000000000000000000000000000000000000000000000000000000000000000111110111010000000000000000000000110000000000100010001000001000000000000000000000000000000000000000000000000000000000000000000000100000101000000000000000000000011000000001111101111100101100000000000000000000000000000000000000000000000000000000000000000001111101111100000000000000000000001100000000001000001000111110000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000110000000000100011111011101000000000000000000000000000000000000000000000000000000000000000000000100000111000000000000000000000011000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000010101010100000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101110000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110101000000000000000000000000110000000010101011111000000000000000000000000000000000000000000000000000000000000000000000000000100010000000001000000000000000011000000001111100110100000000000000000000000000000000000000000000000000000000000000000000000001111101111100101100000000000000001100000000111110001110000000000000000000000000000000000000000000000000000000000000000000000000001000100010111110000000000000000110000000000100001100000001000000000000000000000000000000000000000010101011101000000000000000000100010111011101000000000000000011000000001111101011100101100000000000000000000000000000000000000001111101101100000000000000000000000000001010100000000000000001100000000001000100010111110000000000000000000000000000000000000000111110101110000000000000000000000000000101000000000000000000110000000000100011101011101000000000000010101011111000000000000000000100001101000000000000000000000000000010100000000000000000011000000000000000000001010100000000000001111100100100000000000000001111101010100000000000000000000000000000000000000000000000001100000000000000000000101000000000000000111110000010000000000000000001000101000000000000000000000000000000000000000000000000000110000000000000000000010100000000000000000100001000000111000000000000100011111000000000000000010101010111000000000000000000000011000000000000000000000000000000000000001111100011100100000000000000000000000000000000000000001111101110100000000000000000000001100000000000000000000000000000000000000001000001000100000000000000000000000000000000000000000111110111110000000000000000000000110000000010101011111000000000000000000000100000100010000000000000010101000111000000000000000000100011001010100000000000000000011000000001111100100100000000000000000000000000000001110000000000001111100101100000000000000001111101000101110000000000000000001100000000111110111010000000000000000000000000000000001000000000000111110111010000000000000000001000000010101000000000000000000110000000000100001000000001000000000000000000000000011100000000000000100001101000000000000000000100011111010000000000000000000011000000001111101110000101100000000000000000000000000000000000000001111101110100000000000000000000000000001111100000000000000001100000000001000001000111110000000000000000000000000000000000000000001000101000000000000000000000000000000100010000000000000000110000000000100000111011101000000000000000000000000000000000000000000100011111000000000000000000000000000010111000000000000000011000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111